pub enum AllNode<'a> {
Show 54 variants Root(&'a Root<'a>), SourceFile(&'a SourceFile<'a>), Item(&'a Item<'a>), Module(&'a Module<'a>), Interface(&'a Interface<'a>), Package(&'a Package<'a>), Type(&'a Type<'a>), TypeKind(&'a TypeKind<'a>), Enum(&'a Enum<'a>), EnumName(&'a EnumName<'a>), Struct(&'a Struct<'a>), StructMember(&'a StructMember<'a>), Port(&'a Port<'a>), PortDecl(&'a PortDecl<'a>), Procedure(&'a Procedure<'a>), Stmt(&'a Stmt<'a>), VarDecl(&'a VarDecl<'a>), VarDeclName(&'a VarDeclName<'a>), GenvarDecl(&'a GenvarDecl<'a>), ForeachIndex(&'a ForeachIndex<'a>), Expr(&'a Expr<'a>), CallArg(&'a CallArg<'a>), ClassDecl(&'a ClassDecl<'a>), Typedef(&'a Typedef<'a>), SubroutineDecl(&'a SubroutineDecl<'a>), SubroutinePrototype(&'a SubroutinePrototype<'a>), SubroutinePort(&'a SubroutinePort<'a>), SubroutinePortDecl(&'a SubroutinePortDecl<'a>), NetDecl(&'a NetDecl<'a>), PatternField(&'a PatternField<'a>), ImportDecl(&'a ImportDecl<'a>), ImportItem(&'a ImportItem<'a>), Inst(&'a Inst<'a>), InstName(&'a InstName<'a>), Modport(&'a Modport<'a>), ModportName(&'a ModportName<'a>), ModportPort(&'a ModportPort<'a>), ModportSimplePort(&'a ModportSimplePort<'a>), ParamDecl(&'a ParamDecl<'a>), ParamTypeDecl(&'a ParamTypeDecl<'a>), ParamValueDecl(&'a ParamValueDecl<'a>), ContAssign(&'a ContAssign<'a>), GenerateFor(&'a GenerateFor<'a>), GenerateIf(&'a GenerateIf<'a>), GenerateCase(&'a GenerateCase<'a>), GenerateBlock(&'a GenerateBlock<'a>), PortConn(&'a PortConn<'a>), DpiDecl(&'a DpiDecl<'a>), DataType(&'a DataType<'a>), ImplicitDataType(&'a ImplicitDataType<'a>), VarDim(&'a VarDim<'a>), PackedDim(&'a PackedDim<'a>), UnpackedDim(&'a UnpackedDim<'a>), PathSegment(&'a PathSegment<'a>),
}
Expand description

An exhaustive list of all nodes.

Variants

Root(&'a Root<'a>)

SourceFile(&'a SourceFile<'a>)

Item(&'a Item<'a>)

Module(&'a Module<'a>)

Interface(&'a Interface<'a>)

Package(&'a Package<'a>)

Type(&'a Type<'a>)

TypeKind(&'a TypeKind<'a>)

Enum(&'a Enum<'a>)

EnumName(&'a EnumName<'a>)

Struct(&'a Struct<'a>)

StructMember(&'a StructMember<'a>)

Port(&'a Port<'a>)

PortDecl(&'a PortDecl<'a>)

Procedure(&'a Procedure<'a>)

Stmt(&'a Stmt<'a>)

VarDecl(&'a VarDecl<'a>)

VarDeclName(&'a VarDeclName<'a>)

GenvarDecl(&'a GenvarDecl<'a>)

ForeachIndex(&'a ForeachIndex<'a>)

Expr(&'a Expr<'a>)

CallArg(&'a CallArg<'a>)

ClassDecl(&'a ClassDecl<'a>)

Typedef(&'a Typedef<'a>)

SubroutineDecl(&'a SubroutineDecl<'a>)

SubroutinePrototype(&'a SubroutinePrototype<'a>)

SubroutinePort(&'a SubroutinePort<'a>)

SubroutinePortDecl(&'a SubroutinePortDecl<'a>)

NetDecl(&'a NetDecl<'a>)

PatternField(&'a PatternField<'a>)

ImportDecl(&'a ImportDecl<'a>)

ImportItem(&'a ImportItem<'a>)

Inst(&'a Inst<'a>)

InstName(&'a InstName<'a>)

Modport(&'a Modport<'a>)

ModportName(&'a ModportName<'a>)

ModportPort(&'a ModportPort<'a>)

ModportSimplePort(&'a ModportSimplePort<'a>)

ParamDecl(&'a ParamDecl<'a>)

ParamTypeDecl(&'a ParamTypeDecl<'a>)

ParamValueDecl(&'a ParamValueDecl<'a>)

ContAssign(&'a ContAssign<'a>)

GenerateFor(&'a GenerateFor<'a>)

GenerateIf(&'a GenerateIf<'a>)

GenerateCase(&'a GenerateCase<'a>)

GenerateBlock(&'a GenerateBlock<'a>)

PortConn(&'a PortConn<'a>)

DpiDecl(&'a DpiDecl<'a>)

DataType(&'a DataType<'a>)

ImplicitDataType(&'a ImplicitDataType<'a>)

VarDim(&'a VarDim<'a>)

PackedDim(&'a PackedDim<'a>)

UnpackedDim(&'a UnpackedDim<'a>)

PathSegment(&'a PathSegment<'a>)

Implementations

Get the underlying Root, or None if the node is not a Root.

Get the underlying SourceFile, or None if the node is not a SourceFile.

Get the underlying Item, or None if the node is not a Item.

Get the underlying Module, or None if the node is not a Module.

Get the underlying Interface, or None if the node is not a Interface.

Get the underlying Package, or None if the node is not a Package.

Get the underlying Type, or None if the node is not a Type.

Get the underlying TypeKind, or None if the node is not a TypeKind.

Get the underlying Enum, or None if the node is not a Enum.

Get the underlying EnumName, or None if the node is not a EnumName.

Get the underlying Struct, or None if the node is not a Struct.

Get the underlying StructMember, or None if the node is not a StructMember.

Get the underlying Port, or None if the node is not a Port.

Get the underlying PortDecl, or None if the node is not a PortDecl.

Get the underlying Procedure, or None if the node is not a Procedure.

Get the underlying Stmt, or None if the node is not a Stmt.

Get the underlying VarDecl, or None if the node is not a VarDecl.

Get the underlying VarDeclName, or None if the node is not a VarDeclName.

Get the underlying GenvarDecl, or None if the node is not a GenvarDecl.

Get the underlying ForeachIndex, or None if the node is not a ForeachIndex.

Get the underlying Expr, or None if the node is not a Expr.

Get the underlying CallArg, or None if the node is not a CallArg.

Get the underlying ClassDecl, or None if the node is not a ClassDecl.

Get the underlying Typedef, or None if the node is not a Typedef.

Get the underlying SubroutineDecl, or None if the node is not a SubroutineDecl.

Get the underlying SubroutinePrototype, or None if the node is not a SubroutinePrototype.

Get the underlying SubroutinePort, or None if the node is not a SubroutinePort.

Get the underlying SubroutinePortDecl, or None if the node is not a SubroutinePortDecl.

Get the underlying NetDecl, or None if the node is not a NetDecl.

Get the underlying PatternField, or None if the node is not a PatternField.

Get the underlying ImportDecl, or None if the node is not a ImportDecl.

Get the underlying ImportItem, or None if the node is not a ImportItem.

Get the underlying Inst, or None if the node is not a Inst.

Get the underlying InstName, or None if the node is not a InstName.

Get the underlying Modport, or None if the node is not a Modport.

Get the underlying ModportName, or None if the node is not a ModportName.

Get the underlying ModportPort, or None if the node is not a ModportPort.

Get the underlying ModportSimplePort, or None if the node is not a ModportSimplePort.

Get the underlying ParamDecl, or None if the node is not a ParamDecl.

Get the underlying ParamTypeDecl, or None if the node is not a ParamTypeDecl.

Get the underlying ParamValueDecl, or None if the node is not a ParamValueDecl.

Get the underlying ContAssign, or None if the node is not a ContAssign.

Get the underlying GenerateFor, or None if the node is not a GenerateFor.

Get the underlying GenerateIf, or None if the node is not a GenerateIf.

Get the underlying GenerateCase, or None if the node is not a GenerateCase.

Get the underlying GenerateBlock, or None if the node is not a GenerateBlock.

Get the underlying PortConn, or None if the node is not a PortConn.

Get the underlying DpiDecl, or None if the node is not a DpiDecl.

Get the underlying DataType, or None if the node is not a DataType.

Get the underlying ImplicitDataType, or None if the node is not a ImplicitDataType.

Get the underlying VarDim, or None if the node is not a VarDim.

Get the underlying PackedDim, or None if the node is not a PackedDim.

Get the underlying UnpackedDim, or None if the node is not a UnpackedDim.

Get the underlying PathSegment, or None if the node is not a PathSegment.

Check whether this is a Root node.

Check whether this is a SourceFile node.

Check whether this is a Item node.

Check whether this is a Module node.

Check whether this is a Interface node.

Check whether this is a Package node.

Check whether this is a Type node.

Check whether this is a TypeKind node.

Check whether this is a Enum node.

Check whether this is a EnumName node.

Check whether this is a Struct node.

Check whether this is a StructMember node.

Check whether this is a Port node.

Check whether this is a PortDecl node.

Check whether this is a Procedure node.

Check whether this is a Stmt node.

Check whether this is a VarDecl node.

Check whether this is a VarDeclName node.

Check whether this is a GenvarDecl node.

Check whether this is a ForeachIndex node.

Check whether this is a Expr node.

Check whether this is a CallArg node.

Check whether this is a ClassDecl node.

Check whether this is a Typedef node.

Check whether this is a SubroutineDecl node.

Check whether this is a SubroutinePrototype node.

Check whether this is a SubroutinePort node.

Check whether this is a SubroutinePortDecl node.

Check whether this is a NetDecl node.

Check whether this is a PatternField node.

Check whether this is a ImportDecl node.

Check whether this is a ImportItem node.

Check whether this is a Inst node.

Check whether this is a InstName node.

Check whether this is a Modport node.

Check whether this is a ModportName node.

Check whether this is a ModportPort node.

Check whether this is a ModportSimplePort node.

Check whether this is a ParamDecl node.

Check whether this is a ParamTypeDecl node.

Check whether this is a ParamValueDecl node.

Check whether this is a ContAssign node.

Check whether this is a GenerateFor node.

Check whether this is a GenerateIf node.

Check whether this is a GenerateCase node.

Check whether this is a GenerateBlock node.

Check whether this is a PortConn node.

Check whether this is a DpiDecl node.

Check whether this is a DataType node.

Check whether this is a ImplicitDataType node.

Check whether this is a VarDim node.

Check whether this is a PackedDim node.

Check whether this is a UnpackedDim node.

Check whether this is a PathSegment node.

Get the underlying Root, or panic if the node is not a Root.

Get the underlying SourceFile, or panic if the node is not a SourceFile.

Get the underlying Item, or panic if the node is not a Item.

Get the underlying Module, or panic if the node is not a Module.

Get the underlying Interface, or panic if the node is not a Interface.

Get the underlying Package, or panic if the node is not a Package.

Get the underlying Type, or panic if the node is not a Type.

Get the underlying TypeKind, or panic if the node is not a TypeKind.

Get the underlying Enum, or panic if the node is not a Enum.

Get the underlying EnumName, or panic if the node is not a EnumName.

Get the underlying Struct, or panic if the node is not a Struct.

Get the underlying StructMember, or panic if the node is not a StructMember.

Get the underlying Port, or panic if the node is not a Port.

Get the underlying PortDecl, or panic if the node is not a PortDecl.

Get the underlying Procedure, or panic if the node is not a Procedure.

Get the underlying Stmt, or panic if the node is not a Stmt.

Get the underlying VarDecl, or panic if the node is not a VarDecl.

Get the underlying VarDeclName, or panic if the node is not a VarDeclName.

Get the underlying GenvarDecl, or panic if the node is not a GenvarDecl.

Get the underlying ForeachIndex, or panic if the node is not a ForeachIndex.

Get the underlying Expr, or panic if the node is not a Expr.

Get the underlying CallArg, or panic if the node is not a CallArg.

Get the underlying ClassDecl, or panic if the node is not a ClassDecl.

Get the underlying Typedef, or panic if the node is not a Typedef.

Get the underlying SubroutineDecl, or panic if the node is not a SubroutineDecl.

Get the underlying SubroutinePrototype, or panic if the node is not a SubroutinePrototype.

Get the underlying SubroutinePort, or panic if the node is not a SubroutinePort.

Get the underlying SubroutinePortDecl, or panic if the node is not a SubroutinePortDecl.

Get the underlying NetDecl, or panic if the node is not a NetDecl.

Get the underlying PatternField, or panic if the node is not a PatternField.

Get the underlying ImportDecl, or panic if the node is not a ImportDecl.

Get the underlying ImportItem, or panic if the node is not a ImportItem.

Get the underlying Inst, or panic if the node is not a Inst.

Get the underlying InstName, or panic if the node is not a InstName.

Get the underlying Modport, or panic if the node is not a Modport.

Get the underlying ModportName, or panic if the node is not a ModportName.

Get the underlying ModportPort, or panic if the node is not a ModportPort.

Get the underlying ModportSimplePort, or panic if the node is not a ModportSimplePort.

Get the underlying ParamDecl, or panic if the node is not a ParamDecl.

Get the underlying ParamTypeDecl, or panic if the node is not a ParamTypeDecl.

Get the underlying ParamValueDecl, or panic if the node is not a ParamValueDecl.

Get the underlying ContAssign, or panic if the node is not a ContAssign.

Get the underlying GenerateFor, or panic if the node is not a GenerateFor.

Get the underlying GenerateIf, or panic if the node is not a GenerateIf.

Get the underlying GenerateCase, or panic if the node is not a GenerateCase.

Get the underlying GenerateBlock, or panic if the node is not a GenerateBlock.

Get the underlying PortConn, or panic if the node is not a PortConn.

Get the underlying DpiDecl, or panic if the node is not a DpiDecl.

Get the underlying DataType, or panic if the node is not a DataType.

Get the underlying ImplicitDataType, or panic if the node is not a ImplicitDataType.

Get the underlying VarDim, or panic if the node is not a VarDim.

Get the underlying PackedDim, or panic if the node is not a PackedDim.

Get the underlying UnpackedDim, or panic if the node is not a UnpackedDim.

Get the underlying PathSegment, or panic if the node is not a PathSegment.

Convert to an AnyNode trait object.

Trait Implementations

Returns a copy of the value. Read more

Performs copy-assignment from source. Read more

Formats the value using the given formatter. Read more

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Performs the conversion.

Auto Trait Implementations

Blanket Implementations

Gets the TypeId of self. Read more

Immutably borrows from an owned value. Read more

Mutably borrows from an owned value. Read more

Performs the conversion.

Performs the conversion.

The resulting type after obtaining ownership.

Creates owned data from borrowed data, usually by cloning. Read more

🔬 This is a nightly-only experimental API. (toowned_clone_into)

Uses borrowed data to replace owned data, usually by cloning. Read more

The type returned in the event of a conversion error.

Performs the conversion.

The type returned in the event of a conversion error.

Performs the conversion.