[][src]Module zkinterface::producers::examples

Constants

MODULUS
NEG_ONE

Functions

deserialize_small
example_circuit_header
example_circuit_header_inputs

A test circuit of inputs x,y,zz such that x^2 + y^2 = zz.

example_constraints
example_witness
example_witness_inputs
neg
serialize_small