[][src]Struct stm32h7::stm32h743v::pwr::wkupepr::W

pub struct W { /* fields omitted */ }

Value to write to the register

Methods

impl W[src]

pub unsafe fn bits(&mut self, bits: u32) -> &mut Self[src]

Writes raw bits to the register

pub fn wkupen1(&mut self) -> _WKUPEN1W[src]

Bit 0 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupen2(&mut self) -> _WKUPEN2W[src]

Bit 1 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupen3(&mut self) -> _WKUPEN3W[src]

Bit 2 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupen4(&mut self) -> _WKUPEN4W[src]

Bit 3 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupen5(&mut self) -> _WKUPEN5W[src]

Bit 4 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupen6(&mut self) -> _WKUPEN6W[src]

Bit 5 - Enable Wakeup Pin WKUPn+1 Each bit is set and cleared by software. Note: An additional wakeup event is detected if WKUPn+1 pin is enabled (by setting the WKUPENn+1 bit) when WKUPn+1 pin level is already high when WKUPPn+1 selects rising edge, or low when WKUPPn+1 selects falling edge.

pub fn wkupp1(&mut self) -> _WKUPP1W[src]

Bit 8 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkupp2(&mut self) -> _WKUPP2W[src]

Bit 9 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkupp3(&mut self) -> _WKUPP3W[src]

Bit 10 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkupp4(&mut self) -> _WKUPP4W[src]

Bit 11 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkupp5(&mut self) -> _WKUPP5W[src]

Bit 12 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkupp6(&mut self) -> _WKUPP6W[src]

Bit 13 - Wakeup pin polarity bit for WKUPn-7 These bits define the polarity used for event detection on WKUPn-7 external wakeup pin.

pub fn wkuppupd1(&mut self) -> _WKUPPUPD1W[src]

Bits 16:17 - Wakeup pin pull configuration

pub fn wkuppupd2(&mut self) -> _WKUPPUPD2W[src]

Bits 18:19 - Wakeup pin pull configuration

pub fn wkuppupd3(&mut self) -> _WKUPPUPD3W[src]

Bits 20:21 - Wakeup pin pull configuration

pub fn wkuppupd4(&mut self) -> _WKUPPUPD4W[src]

Bits 22:23 - Wakeup pin pull configuration

pub fn wkuppupd5(&mut self) -> _WKUPPUPD5W[src]

Bits 24:25 - Wakeup pin pull configuration

pub fn wkuppupd6(&mut self) -> _WKUPPUPD6W[src]

Bits 26:27 - Wakeup pin pull configuration for WKUP(truncate(n/2)-7) These bits define the I/O pad pull configuration used when WKUPEN(truncate(n/2)-7) = 1. The associated GPIO port pull configuration shall be set to the same value or to 00. The Wakeup pin pull configuration is kept in Standby mode.

Auto Trait Implementations

impl Unpin for W

impl Send for W

impl Sync for W

Blanket Implementations

impl<T, U> TryFrom<U> for T where
    U: Into<T>, 
[src]

type Error = Infallible

The type returned in the event of a conversion error.

impl<T, U> Into<U> for T where
    U: From<T>, 
[src]

impl<T> From<T> for T[src]

impl<T, U> TryInto<U> for T where
    U: TryFrom<T>, 
[src]

type Error = <U as TryFrom<T>>::Error

The type returned in the event of a conversion error.

impl<T> Borrow<T> for T where
    T: ?Sized
[src]

impl<T> BorrowMut<T> for T where
    T: ?Sized
[src]

impl<T> Any for T where
    T: 'static + ?Sized
[src]

impl<T> Same<T> for T

type Output = T

Should always be Self