[][src]Module sdc_parser::sdc

Structs

CreateClock

A type containing information of create_clock

CreateGeneratedClock

A type containing information of create_generated_clock

CreateVoltageArea

A type containing information of create_voltage_area

CurrentInstance

A type containing information of current_instance

GroupPath

A type containing information of group_path

Sdc

A type representing sdc

Set

A type containing information of set

SetCaseAnalysis

A type containing information of set_case_analysis

SetClockGatingCheck

A type containing information of set_clock_gating_check

SetClockGroups

A type containing information of set_clock_groups

SetClockLatency

A type containing information of set_clock_latency

SetClockSense

A type containing information of set_clock_sense

SetClockTransition

A type containing information of set_clock_transition

SetClockUncertainty

A type containing information of set_clock_uncertainty

SetDataCheck

A type containing information of set_data_check

SetDisableTiming

A type containing information of set_disable_timing

SetDrive

A type containing information of set_drive

SetDrivingCell

A type containing information of set_driving_cell

SetFalsePath

A type containing information of set_false_path

SetFanoutLoad

A type containing information of set_fanout_load

SetIdealLatency

A type containing information of set_ideal_latency

SetIdealNetwork

A type containing information of set_ideal_network

SetIdealTransition

A type containing information of set_ideal_transition

SetInputDelay

A type containing information of set_input_delay

SetInputTransition

A type containing information of set_input_transition

SetLevelShifterStrategy

A type containing information of set_level_shifter_strategy

SetLevelShifterThreshold

A type containing information of set_level_shifter_threshold

SetLoad

A type containing information of set_load

SetLogicDc

A type containing information of set_logic_dc

SetLogicOne

A type containing information of set_logic_one

SetLogicZero

A type containing information of set_logic_zero

SetMaxArea

A type containing information of set_max_area

SetMaxCapacitance

A type containing information of set_max_capacitance

SetMaxDelay

A type containing information of set_max_delay

SetMaxDynamicPower

A type containing information of set_max_dynamic_power

SetMaxFanout

A type containing information of set_max_fanout

SetMaxLeakagePower

A type containing information of set_max_leakage_power

SetMaxTimeBorrow

A type containing information of set_max_time_borrow

SetMaxTransition

A type containing information of set_max_transition

SetMinCapacitance

A type containing information of set_min_capacitance

SetMinDelay

A type containing information of set_min_delay

SetMinPorosity

A type containing information of set_min_porosity

SetMinPulseWidth

A type containing information of set_min_pulse_width

SetMulticyclePath

A type containing information of set_multicycle_path

SetOperatingConditions

A type containing information of set_operating_conditions

SetOutputDelay

A type containing information of set_output_delay

SetPortFanoutNumber

A type containing information of set_port_fanout_number

SetPropagatedClock

A type containing information of set_propagated_clock

SetResistance

A type containing information of set_resistance

SetSdcVersion

A type containing information of set sdc_version

SetSense

A type containing information of set_sense

SetTimingDerate

A type containing information of set_timing_derate

SetUnits

A type containing information of set_units

SetVoltage

A type containing information of set_voltage

SetWireLoadMinBlockSize

A type containing information of set_wire_load_min_block_size

SetWireLoadMode

A type containing information of set_wire_load_mode

SetWireLoadModel

A type containing information of set_wire_load_model

SetWireLoadSelectionGroup

A type containing information of set_wire_load_selection_group

UnitValue

UnitValue

Enums

CaseValue
Command

Enumeration on sdc command