[][src]Trait moore_svlog_syntax::ast::ForEachChild

pub trait ForEachChild<'a> {
    fn for_each_child(&'a self, each: &mut dyn FnMut(&'a dyn AnyNode<'a>));
}

A node which allows iterating over each child node.

Required methods

fn for_each_child(&'a self, each: &mut dyn FnMut(&'a dyn AnyNode<'a>))

Apply a function to each child node.

Loading content...

Implementors

impl<'a> ForEachChild<'a> for AssertionActionBlock<'a>[src]

impl<'a> ForEachChild<'a> for AssertionData<'a>[src]

impl<'a> ForEachChild<'a> for AssertionDeferred[src]

impl<'a> ForEachChild<'a> for AssignOp[src]

impl<'a> ForEachChild<'a> for BlockingAssertion<'a>[src]

impl<'a> ForEachChild<'a> for CaseItem<'a>[src]

impl<'a> ForEachChild<'a> for CaseKind[src]

impl<'a> ForEachChild<'a> for CaseMode[src]

impl<'a> ForEachChild<'a> for ChargeStrength[src]

impl<'a> ForEachChild<'a> for ClassItemData<'a>[src]

impl<'a> ForEachChild<'a> for ClassItemQualifier[src]

impl<'a> ForEachChild<'a> for ConcurrentAssertion<'a>[src]

impl<'a> ForEachChild<'a> for ConstraintItemData<'a>[src]

impl<'a> ForEachChild<'a> for ConstraintKind[src]

impl<'a> ForEachChild<'a> for DataTypeData<'a>[src]

impl<'a> ForEachChild<'a> for DataTypeOrImplicit<'a>[src]

impl<'a> ForEachChild<'a> for DpiDeclData<'a>[src]

impl<'a> ForEachChild<'a> for DpiProperty[src]

impl<'a> ForEachChild<'a> for DriveStrength[src]

impl<'a> ForEachChild<'a> for EdgeIdent[src]

impl<'a> ForEachChild<'a> for EventControlData<'a>[src]

impl<'a> ForEachChild<'a> for EventExpr<'a>[src]

impl<'a> ForEachChild<'a> for ExprData<'a>[src]

impl<'a> ForEachChild<'a> for IntType[src]

impl<'a> ForEachChild<'a> for ItemData<'a>[src]

impl<'a> ForEachChild<'a> for JoinKind[src]

impl<'a> ForEachChild<'a> for Lifetime[src]

impl<'a> ForEachChild<'a> for ModportPortData<'a>[src]

impl<'a> ForEachChild<'a> for NetKind[src]

impl<'a> ForEachChild<'a> for NetStrength[src]

impl<'a> ForEachChild<'a> for NetType[src]

impl<'a> ForEachChild<'a> for PackedDimData<'a>[src]

impl<'a> ForEachChild<'a> for ParamKind<'a>[src]

impl<'a> ForEachChild<'a> for PathSegmentData<'a>[src]

impl<'a> ForEachChild<'a> for PatternFieldData<'a>[src]

impl<'a> ForEachChild<'a> for PortConnData<'a>[src]

impl<'a> ForEachChild<'a> for PortConnMode<'a>[src]

impl<'a> ForEachChild<'a> for PortData<'a>[src]

impl<'a> ForEachChild<'a> for PortDir[src]

impl<'a> ForEachChild<'a> for ProcedureKind[src]

impl<'a> ForEachChild<'a> for PropBinOp[src]

impl<'a> ForEachChild<'a> for PropExprData<'a>[src]

impl<'a> ForEachChild<'a> for PropSeqBinOp[src]

impl<'a> ForEachChild<'a> for PropSeqOp[src]

impl<'a> ForEachChild<'a> for RandomQualifier[src]

impl<'a> ForEachChild<'a> for RangeMode[src]

impl<'a> ForEachChild<'a> for RealType[src]

impl<'a> ForEachChild<'a> for SeqBinOp[src]

impl<'a> ForEachChild<'a> for SeqExprData<'a>[src]

impl<'a> ForEachChild<'a> for SeqRep<'a>[src]

impl<'a> ForEachChild<'a> for StmtKind<'a>[src]

impl<'a> ForEachChild<'a> for StreamConcatSlice<'a>[src]

impl<'a> ForEachChild<'a> for StructKind[src]

impl<'a> ForEachChild<'a> for SubroutineItem<'a>[src]

impl<'a> ForEachChild<'a> for SubroutineKind[src]

impl<'a> ForEachChild<'a> for SubroutinePortDir[src]

impl<'a> ForEachChild<'a> for TimingControl<'a>[src]

impl<'a> ForEachChild<'a> for TypeDim<'a>[src]

impl<'a> ForEachChild<'a> for TypeKindData<'a>[src]

impl<'a> ForEachChild<'a> for TypeOrExpr<'a>

impl<'a> ForEachChild<'a> for TypeSign[src]

impl<'a> ForEachChild<'a> for UniquePriority[src]

impl<'a> ForEachChild<'a> for UnpackedDimData<'a>[src]

impl<'a> ForEachChild<'a> for ValueRange<'a>[src]

impl<'a> ForEachChild<'a> for VarDimData<'a>[src]

impl<'a> ForEachChild<'a> for VarKind[src]

impl<'a> ForEachChild<'a> for Assertion<'a>[src]

impl<'a> ForEachChild<'a> for CallArg<'a>[src]

impl<'a> ForEachChild<'a> for ClassDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ClassItem<'a>[src]

impl<'a> ForEachChild<'a> for Constraint<'a>[src]

impl<'a> ForEachChild<'a> for ConstraintItem<'a>[src]

impl<'a> ForEachChild<'a> for ContAssignData<'a>[src]

impl<'a> ForEachChild<'a> for CycleDelay[src]

impl<'a> ForEachChild<'a> for DelayControl<'a>[src]

impl<'a> ForEachChild<'a> for EnumData<'a>[src]

impl<'a> ForEachChild<'a> for EnumNameData<'a>[src]

impl<'a> ForEachChild<'a> for EventControl<'a>[src]

impl<'a> ForEachChild<'a> for ForeachIndexData[src]

impl<'a> ForEachChild<'a> for GenerateBlockData<'a>[src]

impl<'a> ForEachChild<'a> for GenerateCaseData[src]

impl<'a> ForEachChild<'a> for GenerateForData<'a>[src]

impl<'a> ForEachChild<'a> for GenerateIfData<'a>[src]

impl<'a> ForEachChild<'a> for GenvarDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ImplicitDataTypeData<'a>[src]

impl<'a> ForEachChild<'a> for ImportDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ImportItemData[src]

impl<'a> ForEachChild<'a> for InstData<'a>[src]

impl<'a> ForEachChild<'a> for InstNameData<'a>[src]

impl<'a> ForEachChild<'a> for InterfaceData<'a>[src]

impl<'a> ForEachChild<'a> for ModportData<'a>[src]

impl<'a> ForEachChild<'a> for ModportNameData<'a>[src]

impl<'a> ForEachChild<'a> for ModportSimplePortData<'a>[src]

impl<'a> ForEachChild<'a> for ModuleData<'a>[src]

impl<'a> ForEachChild<'a> for NetDeclData<'a>[src]

impl<'a> ForEachChild<'a> for PackageData<'a>[src]

impl<'a> ForEachChild<'a> for ParamAssignment<'a>[src]

impl<'a> ForEachChild<'a> for ParamDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ParamTypeDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ParamValueDeclData<'a>[src]

impl<'a> ForEachChild<'a> for PortDeclData<'a>[src]

impl<'a> ForEachChild<'a> for ProcedureData<'a>[src]

impl<'a> ForEachChild<'a> for PropExpr<'a>[src]

impl<'a> ForEachChild<'a> for PropSpec[src]

impl<'a> ForEachChild<'a> for RootData<'a>[src]

impl<'a> ForEachChild<'a> for SeqExpr<'a>[src]

impl<'a> ForEachChild<'a> for SourceFileData<'a>[src]

impl<'a> ForEachChild<'a> for StmtData<'a>[src]

impl<'a> ForEachChild<'a> for StreamExpr<'a>[src]

impl<'a> ForEachChild<'a> for StructData<'a>[src]

impl<'a> ForEachChild<'a> for StructMemberData<'a>[src]

impl<'a> ForEachChild<'a> for SubroutineDeclData<'a>[src]

impl<'a> ForEachChild<'a> for SubroutinePortData<'a>[src]

impl<'a> ForEachChild<'a> for SubroutinePortDecl<'a>[src]

impl<'a> ForEachChild<'a> for SubroutinePortName<'a>[src]

impl<'a> ForEachChild<'a> for SubroutinePrototypeData<'a>[src]

impl<'a> ForEachChild<'a> for Timeunit[src]

impl<'a> ForEachChild<'a> for TypeData<'a>[src]

impl<'a> ForEachChild<'a> for TypedefData<'a>[src]

impl<'a> ForEachChild<'a> for VarDeclData<'a>[src]

impl<'a> ForEachChild<'a> for VarDeclNameData<'a>[src]

impl<'a, T> ForEachChild<'a> for Node<'a, T> where
    T: ForEachChild<'a>, 
[src]

Loading content...