Enum enumx::predefined::Enum13[][src]

pub enum Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> {
    _0(_T0),
    _1(_T1),
    _2(_T2),
    _3(_T3),
    _4(_T4),
    _5(_T5),
    _6(_T6),
    _7(_T7),
    _8(_T8),
    _9(_T9),
    _10(_T10),
    _11(_T11),
    _12(_T12),
}

Variants

_0(_T0)
_1(_T1)
_2(_T2)
_3(_T3)
_4(_T4)
_5(_T5)
_6(_T6)
_7(_T7)
_8(_T8)
_9(_T9)
_10(_T10)
_11(_T11)
_12(_T12)

Trait Implementations

impl<T, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> AsMut<T> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: AsMut<T>,
    _T1: AsMut<T>,
    _T2: AsMut<T>,
    _T3: AsMut<T>,
    _T4: AsMut<T>,
    _T5: AsMut<T>,
    _T6: AsMut<T>,
    _T7: AsMut<T>,
    _T8: AsMut<T>,
    _T9: AsMut<T>,
    _T10: AsMut<T>,
    _T11: AsMut<T>,
    _T12: AsMut<T>, 
[src]

impl<T, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> AsRef<T> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: AsRef<T>,
    _T1: AsRef<T>,
    _T2: AsRef<T>,
    _T3: AsRef<T>,
    _T4: AsRef<T>,
    _T5: AsRef<T>,
    _T6: AsRef<T>,
    _T7: AsRef<T>,
    _T8: AsRef<T>,
    _T9: AsRef<T>,
    _T10: AsRef<T>,
    _T11: AsRef<T>,
    _T12: AsRef<T>, 
[src]

impl<_T0: Clone, _T1: Clone, _T2: Clone, _T3: Clone, _T4: Clone, _T5: Clone, _T6: Clone, _T7: Clone, _T8: Clone, _T9: Clone, _T10: Clone, _T11: Clone, _T12: Clone> Clone for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0: Debug, _T1: Debug, _T2: Debug, _T3: Debug, _T4: Debug, _T5: Debug, _T6: Debug, _T7: Debug, _T8: Debug, _T9: Debug, _T10: Debug, _T11: Debug, _T12: Debug> Debug for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_Target, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Deref for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Deref<Target = _Target>,
    _T1: Deref<Target = _Target>,
    _T2: Deref<Target = _Target>,
    _T3: Deref<Target = _Target>,
    _T4: Deref<Target = _Target>,
    _T5: Deref<Target = _Target>,
    _T6: Deref<Target = _Target>,
    _T7: Deref<Target = _Target>,
    _T8: Deref<Target = _Target>,
    _T9: Deref<Target = _Target>,
    _T10: Deref<Target = _Target>,
    _T11: Deref<Target = _Target>,
    _T12: Deref<Target = _Target>, 
[src]

type Target = _Target

The resulting type after dereferencing.

impl<_Target, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> DerefMut for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: DerefMut<Target = _Target>,
    _T1: DerefMut<Target = _Target>,
    _T2: DerefMut<Target = _Target>,
    _T3: DerefMut<Target = _Target>,
    _T4: DerefMut<Target = _Target>,
    _T5: DerefMut<Target = _Target>,
    _T6: DerefMut<Target = _Target>,
    _T7: DerefMut<Target = _Target>,
    _T8: DerefMut<Target = _Target>,
    _T9: DerefMut<Target = _Target>,
    _T10: DerefMut<Target = _Target>,
    _T11: DerefMut<Target = _Target>,
    _T12: DerefMut<Target = _Target>,
    Self: Deref<Target = _Target>, 
[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Display for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Display,
    _T1: Display,
    _T2: Display,
    _T3: Display,
    _T4: Display,
    _T5: Display,
    _T6: Display,
    _T7: Display,
    _T8: Display,
    _T9: Display,
    _T10: Display,
    _T11: Display,
    _T12: Display
[src]

impl<_Item, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> DoubleEndedIterator for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: DoubleEndedIterator<Item = _Item>,
    _T1: DoubleEndedIterator<Item = _Item>,
    _T2: DoubleEndedIterator<Item = _Item>,
    _T3: DoubleEndedIterator<Item = _Item>,
    _T4: DoubleEndedIterator<Item = _Item>,
    _T5: DoubleEndedIterator<Item = _Item>,
    _T6: DoubleEndedIterator<Item = _Item>,
    _T7: DoubleEndedIterator<Item = _Item>,
    _T8: DoubleEndedIterator<Item = _Item>,
    _T9: DoubleEndedIterator<Item = _Item>,
    _T10: DoubleEndedIterator<Item = _Item>,
    _T11: DoubleEndedIterator<Item = _Item>,
    _T12: DoubleEndedIterator<Item = _Item>,
    Self: Iterator<Item = _Item>, 
[src]

impl<_T0: Eq, _T1: Eq, _T2: Eq, _T3: Eq, _T4: Eq, _T5: Eq, _T6: Eq, _T7: Eq, _T8: Eq, _T9: Eq, _T10: Eq, _T11: Eq, _T12: Eq> Eq for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Error for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Error,
    _T1: Error,
    _T2: Error,
    _T3: Error,
    _T4: Error,
    _T5: Error,
    _T6: Error,
    _T7: Error,
    _T8: Error,
    _T9: Error,
    _T10: Error,
    _T11: Error,
    _T12: Error,
    Self: Debug + Display
[src]

impl<_Item, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> ExactSizeIterator for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: ExactSizeIterator<Item = _Item>,
    _T1: ExactSizeIterator<Item = _Item>,
    _T2: ExactSizeIterator<Item = _Item>,
    _T3: ExactSizeIterator<Item = _Item>,
    _T4: ExactSizeIterator<Item = _Item>,
    _T5: ExactSizeIterator<Item = _Item>,
    _T6: ExactSizeIterator<Item = _Item>,
    _T7: ExactSizeIterator<Item = _Item>,
    _T8: ExactSizeIterator<Item = _Item>,
    _T9: ExactSizeIterator<Item = _Item>,
    _T10: ExactSizeIterator<Item = _Item>,
    _T11: ExactSizeIterator<Item = _Item>,
    _T12: ExactSizeIterator<Item = _Item>,
    Self: Iterator<Item = _Item>, 
[src]

impl<A, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Extend<A> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Extend<A>,
    _T1: Extend<A>,
    _T2: Extend<A>,
    _T3: Extend<A>,
    _T4: Extend<A>,
    _T5: Extend<A>,
    _T6: Extend<A>,
    _T7: Extend<A>,
    _T8: Extend<A>,
    _T9: Extend<A>,
    _T10: Extend<A>,
    _T11: Extend<A>,
    _T12: Extend<A>, 
[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T0, [(); 0]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T1, [(); 1]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T10, [(); 10]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T11, [(); 11]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T12, [(); 12]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T2, [(); 2]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T3, [(); 3]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T4, [(); 4]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T5, [(); 5]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T6, [(); 6]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T7, [(); 7]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T8, [(); 8]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FromVariant<_T9, [(); 9]> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_Item, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> FusedIterator for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: FusedIterator<Item = _Item>,
    _T1: FusedIterator<Item = _Item>,
    _T2: FusedIterator<Item = _Item>,
    _T3: FusedIterator<Item = _Item>,
    _T4: FusedIterator<Item = _Item>,
    _T5: FusedIterator<Item = _Item>,
    _T6: FusedIterator<Item = _Item>,
    _T7: FusedIterator<Item = _Item>,
    _T8: FusedIterator<Item = _Item>,
    _T9: FusedIterator<Item = _Item>,
    _T10: FusedIterator<Item = _Item>,
    _T11: FusedIterator<Item = _Item>,
    _T12: FusedIterator<Item = _Item>,
    Self: Iterator<Item = _Item>, 
[src]

impl<_Item, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Iterator for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Iterator<Item = _Item>,
    _T1: Iterator<Item = _Item>,
    _T2: Iterator<Item = _Item>,
    _T3: Iterator<Item = _Item>,
    _T4: Iterator<Item = _Item>,
    _T5: Iterator<Item = _Item>,
    _T6: Iterator<Item = _Item>,
    _T7: Iterator<Item = _Item>,
    _T8: Iterator<Item = _Item>,
    _T9: Iterator<Item = _Item>,
    _T10: Iterator<Item = _Item>,
    _T11: Iterator<Item = _Item>,
    _T12: Iterator<Item = _Item>, 
[src]

type Item = _Item

The type of the elements being iterated over.

impl<_T0: Ord, _T1: Ord, _T2: Ord, _T3: Ord, _T4: Ord, _T5: Ord, _T6: Ord, _T7: Ord, _T8: Ord, _T9: Ord, _T10: Ord, _T11: Ord, _T12: Ord> Ord for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0: PartialEq, _T1: PartialEq, _T2: PartialEq, _T3: PartialEq, _T4: PartialEq, _T5: PartialEq, _T6: PartialEq, _T7: PartialEq, _T8: PartialEq, _T9: PartialEq, _T10: PartialEq, _T11: PartialEq, _T12: PartialEq> PartialEq<Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0: PartialOrd, _T1: PartialOrd, _T2: PartialOrd, _T3: PartialOrd, _T4: PartialOrd, _T5: PartialOrd, _T6: PartialOrd, _T7: PartialOrd, _T8: PartialOrd, _T9: PartialOrd, _T10: PartialOrd, _T11: PartialOrd, _T12: PartialOrd> PartialOrd<Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>> for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Proto for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

type Type = __13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> StructuralEq for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> StructuralPartialEq for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>[src]

Auto Trait Implementations

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> RefUnwindSafe for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: RefUnwindSafe,
    _T1: RefUnwindSafe,
    _T10: RefUnwindSafe,
    _T11: RefUnwindSafe,
    _T12: RefUnwindSafe,
    _T2: RefUnwindSafe,
    _T3: RefUnwindSafe,
    _T4: RefUnwindSafe,
    _T5: RefUnwindSafe,
    _T6: RefUnwindSafe,
    _T7: RefUnwindSafe,
    _T8: RefUnwindSafe,
    _T9: RefUnwindSafe

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Send for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Send,
    _T1: Send,
    _T10: Send,
    _T11: Send,
    _T12: Send,
    _T2: Send,
    _T3: Send,
    _T4: Send,
    _T5: Send,
    _T6: Send,
    _T7: Send,
    _T8: Send,
    _T9: Send

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Sync for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Sync,
    _T1: Sync,
    _T10: Sync,
    _T11: Sync,
    _T12: Sync,
    _T2: Sync,
    _T3: Sync,
    _T4: Sync,
    _T5: Sync,
    _T6: Sync,
    _T7: Sync,
    _T8: Sync,
    _T9: Sync

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> Unpin for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: Unpin,
    _T1: Unpin,
    _T10: Unpin,
    _T11: Unpin,
    _T12: Unpin,
    _T2: Unpin,
    _T3: Unpin,
    _T4: Unpin,
    _T5: Unpin,
    _T6: Unpin,
    _T7: Unpin,
    _T8: Unpin,
    _T9: Unpin

impl<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> UnwindSafe for Enum13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12> where
    _T0: UnwindSafe,
    _T1: UnwindSafe,
    _T10: UnwindSafe,
    _T11: UnwindSafe,
    _T12: UnwindSafe,
    _T2: UnwindSafe,
    _T3: UnwindSafe,
    _T4: UnwindSafe,
    _T5: UnwindSafe,
    _T6: UnwindSafe,
    _T7: UnwindSafe,
    _T8: UnwindSafe,
    _T9: UnwindSafe

Blanket Implementations

impl<T> Any for T where
    T: 'static + ?Sized
[src]

impl<T> Borrow<T> for T where
    T: ?Sized
[src]

impl<T> BorrowMut<T> for T where
    T: ?Sized
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13, _I14, _I15, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13, _T14, _T15, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13, _I14, _I15)>> for Dest where
    Src: Proto<Type = __16<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13, _T14, _T15>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10> + ExchangeFrom<_T11, _I11> + ExchangeFrom<_T12, _I12> + ExchangeFrom<_T13, _I13> + ExchangeFrom<_T14, _I14> + ExchangeFrom<_T15, _I15>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13, _I14, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13, _T14, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13, _I14)>> for Dest where
    Src: Proto<Type = __15<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13, _T14>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10> + ExchangeFrom<_T11, _I11> + ExchangeFrom<_T12, _I12> + ExchangeFrom<_T13, _I13> + ExchangeFrom<_T14, _I14>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _I13)>> for Dest where
    Src: Proto<Type = __14<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, _T13>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10> + ExchangeFrom<_T11, _I11> + ExchangeFrom<_T12, _I12> + ExchangeFrom<_T13, _I13>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _I12)>> for Dest where
    Src: Proto<Type = __13<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, _T12>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10> + ExchangeFrom<_T11, _I11> + ExchangeFrom<_T12, _I12>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _I11)>> for Dest where
    Src: Proto<Type = __12<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, _T11>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10> + ExchangeFrom<_T11, _I11>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _I10)>> for Dest where
    Src: Proto<Type = __11<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, _T10>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9> + ExchangeFrom<_T10, _I10>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _I9)>> for Dest where
    Src: Proto<Type = __10<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, _T9>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8> + ExchangeFrom<_T9, _I9>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _I8)>> for Dest where
    Src: Proto<Type = __9<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, _T8>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7> + ExchangeFrom<_T8, _I8>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7, _T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6, _I7)>> for Dest where
    Src: Proto<Type = __8<_T0, _T1, _T2, _T3, _T4, _T5, _T6, _T7>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6> + ExchangeFrom<_T7, _I7>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _I6, _T0, _T1, _T2, _T3, _T4, _T5, _T6, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5, _I6)>> for Dest where
    Src: Proto<Type = __7<_T0, _T1, _T2, _T3, _T4, _T5, _T6>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5> + ExchangeFrom<_T6, _I6>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _I5, _T0, _T1, _T2, _T3, _T4, _T5, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4, _I5)>> for Dest where
    Src: Proto<Type = __6<_T0, _T1, _T2, _T3, _T4, _T5>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4> + ExchangeFrom<_T5, _I5>, 
[src]

impl<_I0, _I1, _I2, _I3, _I4, _T0, _T1, _T2, _T3, _T4, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3, _I4)>> for Dest where
    Src: Proto<Type = __5<_T0, _T1, _T2, _T3, _T4>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3> + ExchangeFrom<_T4, _I4>, 
[src]

impl<_I0, _I1, _I2, _I3, _T0, _T1, _T2, _T3, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2, _I3)>> for Dest where
    Src: Proto<Type = __4<_T0, _T1, _T2, _T3>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2> + ExchangeFrom<_T3, _I3>, 
[src]

impl<_I0, _I1, _I2, _T0, _T1, _T2, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1, _I2)>> for Dest where
    Src: Proto<Type = __3<_T0, _T1, _T2>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1> + ExchangeFrom<_T2, _I2>, 
[src]

impl<_I0, _I1, _T0, _T1, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0, _I1)>> for Dest where
    Src: Proto<Type = __2<_T0, _T1>>,
    Dest: ExchangeFrom<_T0, _I0> + ExchangeFrom<_T1, _I1>, 
[src]

impl<_I0, _T0, Src, Dest> ExchangeFrom<Src, EnumToEnum<(_I0,)>> for Dest where
    Src: Proto<Type = __1<_T0>>,
    Dest: ExchangeFrom<_T0, _I0>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 0]> for Enum where
    Enum: FromVariant<Variant, [(); 0]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 1]> for Enum where
    Enum: FromVariant<Variant, [(); 1]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 10]> for Enum where
    Enum: FromVariant<Variant, [(); 10]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 11]> for Enum where
    Enum: FromVariant<Variant, [(); 11]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 12]> for Enum where
    Enum: FromVariant<Variant, [(); 12]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 2]> for Enum where
    Enum: FromVariant<Variant, [(); 2]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 3]> for Enum where
    Enum: FromVariant<Variant, [(); 3]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 4]> for Enum where
    Enum: FromVariant<Variant, [(); 4]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 5]> for Enum where
    Enum: FromVariant<Variant, [(); 5]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 6]> for Enum where
    Enum: FromVariant<Variant, [(); 6]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 7]> for Enum where
    Enum: FromVariant<Variant, [(); 7]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 8]> for Enum where
    Enum: FromVariant<Variant, [(); 8]>, 
[src]

impl<Enum, Variant> ExchangeFrom<Variant, [(); 9]> for Enum where
    Enum: FromVariant<Variant, [(); 9]>, 
[src]

impl<Src, Dest, Index> ExchangeInto<Dest, Index> for Src where
    Dest: ExchangeFrom<Src, Index>, 
[src]

impl<T> From<T> for T[src]

impl<T, U> Into<U> for T where
    U: From<T>, 
[src]

impl<Enum, Variant, Index> IntoEnum<Enum, Index> for Variant where
    Enum: FromVariant<Variant, Index>, 
[src]

impl<I> IntoIterator for I where
    I: Iterator
[src]

type Item = <I as Iterator>::Item

The type of the elements being iterated over.

type IntoIter = I

Which kind of iterator are we turning this into?

impl<T> ToOwned for T where
    T: Clone
[src]

type Owned = T

The resulting type after obtaining ownership.

impl<T> ToString for T where
    T: Display + ?Sized
[src]

impl<T, U> TryFrom<U> for T where
    U: Into<T>, 
[src]

type Error = Infallible

The type returned in the event of a conversion error.

impl<T, U> TryInto<U> for T where
    U: TryFrom<T>, 
[src]

type Error = <U as TryFrom<T>>::Error

The type returned in the event of a conversion error.