1.0.0[][src]Trait tract_hir::internal::tract_downcast_rs::__std::ops::BitOr

#[lang = "bitor"]pub trait BitOr<Rhs = Self> {
    type Output;
#[must_use]    pub fn bitor(self, rhs: Rhs) -> Self::Output;
}

The bitwise OR operator |.

Note that Rhs is Self by default, but this is not mandatory.

Examples

An implementation of BitOr for a wrapper around bool.

use std::ops::BitOr;

#[derive(Debug, PartialEq)]
struct Scalar(bool);

impl BitOr for Scalar {
    type Output = Self;

    // rhs is the "right-hand side" of the expression `a | b`
    fn bitor(self, rhs: Self) -> Self::Output {
        Self(self.0 | rhs.0)
    }
}

assert_eq!(Scalar(true) | Scalar(true), Scalar(true));
assert_eq!(Scalar(true) | Scalar(false), Scalar(true));
assert_eq!(Scalar(false) | Scalar(true), Scalar(true));
assert_eq!(Scalar(false) | Scalar(false), Scalar(false));

An implementation of BitOr for a wrapper around Vec<bool>.

use std::ops::BitOr;

#[derive(Debug, PartialEq)]
struct BooleanVector(Vec<bool>);

impl BitOr for BooleanVector {
    type Output = Self;

    fn bitor(self, Self(rhs): Self) -> Self::Output {
        let Self(lhs) = self;
        assert_eq!(lhs.len(), rhs.len());
        Self(
            lhs.iter()
                .zip(rhs.iter())
                .map(|(x, y)| *x | *y)
                .collect()
        )
    }
}

let bv1 = BooleanVector(vec![true, true, false, false]);
let bv2 = BooleanVector(vec![true, false, true, false]);
let expected = BooleanVector(vec![true, true, true, false]);
assert_eq!(bv1 | bv2, expected);

Associated Types

type Output[src]

The resulting type after applying the | operator.

Loading content...

Required methods

#[must_use]pub fn bitor(self, rhs: Rhs) -> Self::Output[src]

Performs the | operation.

Examples

assert_eq!(true | false, true);
assert_eq!(false | false, false);
assert_eq!(5u8 | 1u8, 5);
assert_eq!(5u8 | 2u8, 7);
Loading content...

Implementations on Foreign Types

impl<'_, '_> BitOr<&'_ u32> for &'_ u32[src]

type Output = <u32 as BitOr<u32>>::Output

impl<'a> BitOr<u128> for &'a u128[src]

type Output = <u128 as BitOr<u128>>::Output

impl BitOr<bool> for bool[src]

type Output = bool

impl BitOr<NonZeroU64> for u64[src]

type Output = NonZeroU64

impl<'_> BitOr<&'_ u128> for u128[src]

type Output = <u128 as BitOr<u128>>::Output

impl BitOr<NonZeroU8> for u8[src]

type Output = NonZeroU8

impl<'_> BitOr<&'_ u16> for u16[src]

type Output = <u16 as BitOr<u16>>::Output

impl<'_> BitOr<&'_ i128> for i128[src]

type Output = <i128 as BitOr<i128>>::Output

impl<'_, '_> BitOr<&'_ u64> for &'_ u64[src]

type Output = <u64 as BitOr<u64>>::Output

impl BitOr<i32> for i32[src]

type Output = i32

impl BitOr<isize> for isize[src]

type Output = isize

impl<'_, '_> BitOr<&'_ isize> for &'_ isize[src]

type Output = <isize as BitOr<isize>>::Output

impl<'_> BitOr<&'_ usize> for usize[src]

type Output = <usize as BitOr<usize>>::Output

impl BitOr<NonZeroI64> for i64[src]

type Output = NonZeroI64

impl<'a> BitOr<i32> for &'a i32[src]

type Output = <i32 as BitOr<i32>>::Output

impl<'_> BitOr<&'_ i16> for i16[src]

type Output = <i16 as BitOr<i16>>::Output

impl<'a> BitOr<u16> for &'a u16[src]

type Output = <u16 as BitOr<u16>>::Output

impl<'_> BitOr<&'_ i32> for i32[src]

type Output = <i32 as BitOr<i32>>::Output

impl<'_> BitOr<&'_ bool> for bool[src]

type Output = <bool as BitOr<bool>>::Output

impl<'_> BitOr<&'_ i64> for i64[src]

type Output = <i64 as BitOr<i64>>::Output

impl BitOr<NonZeroI16> for i16[src]

type Output = NonZeroI16

impl BitOr<NonZeroI32> for i32[src]

type Output = NonZeroI32

impl<'_, '_> BitOr<&'_ i32> for &'_ i32[src]

type Output = <i32 as BitOr<i32>>::Output

impl BitOr<usize> for usize[src]

type Output = usize

impl<'a> BitOr<u8> for &'a u8[src]

type Output = <u8 as BitOr<u8>>::Output

impl<'_> BitOr<&'_ i8> for i8[src]

type Output = <i8 as BitOr<i8>>::Output

impl<'_> BitOr<&'_ isize> for isize[src]

type Output = <isize as BitOr<isize>>::Output

impl BitOr<NonZeroI8> for i8[src]

type Output = NonZeroI8

impl<'a> BitOr<i8> for &'a i8[src]

type Output = <i8 as BitOr<i8>>::Output

impl<'a> BitOr<isize> for &'a isize[src]

type Output = <isize as BitOr<isize>>::Output

impl BitOr<NonZeroUsize> for usize[src]

impl<'_, '_> BitOr<&'_ u8> for &'_ u8[src]

type Output = <u8 as BitOr<u8>>::Output

impl<'_, '_> BitOr<&'_ u128> for &'_ u128[src]

type Output = <u128 as BitOr<u128>>::Output

impl<'a> BitOr<i128> for &'a i128[src]

type Output = <i128 as BitOr<i128>>::Output

impl BitOr<NonZeroU32> for u32[src]

type Output = NonZeroU32

impl BitOr<i16> for i16[src]

type Output = i16

impl BitOr<u8> for u8[src]

type Output = u8

impl<'_> BitOr<&'_ u64> for u64[src]

type Output = <u64 as BitOr<u64>>::Output

impl<'_, '_> BitOr<&'_ i8> for &'_ i8[src]

type Output = <i8 as BitOr<i8>>::Output

impl BitOr<NonZeroU16> for u16[src]

type Output = NonZeroU16

impl<'a> BitOr<usize> for &'a usize[src]

type Output = <usize as BitOr<usize>>::Output

impl<'a> BitOr<u64> for &'a u64[src]

type Output = <u64 as BitOr<u64>>::Output

impl<'_, '_> BitOr<&'_ i128> for &'_ i128[src]

type Output = <i128 as BitOr<i128>>::Output

impl<'a> BitOr<i16> for &'a i16[src]

type Output = <i16 as BitOr<i16>>::Output

impl<'_> BitOr<&'_ u32> for u32[src]

type Output = <u32 as BitOr<u32>>::Output

impl BitOr<u64> for u64[src]

type Output = u64

impl BitOr<u32> for u32[src]

type Output = u32

impl<'a> BitOr<bool> for &'a bool[src]

type Output = <bool as BitOr<bool>>::Output

impl BitOr<i64> for i64[src]

type Output = i64

impl<'_> BitOr<&'_ u8> for u8[src]

type Output = <u8 as BitOr<u8>>::Output

impl<'_, '_> BitOr<&'_ usize> for &'_ usize[src]

type Output = <usize as BitOr<usize>>::Output

impl<'_, '_> BitOr<&'_ u16> for &'_ u16[src]

type Output = <u16 as BitOr<u16>>::Output

impl BitOr<i8> for i8[src]

type Output = i8

impl<'_, '_> BitOr<&'_ bool> for &'_ bool[src]

type Output = <bool as BitOr<bool>>::Output

impl<'_, '_> BitOr<&'_ i16> for &'_ i16[src]

type Output = <i16 as BitOr<i16>>::Output

impl<'a> BitOr<i64> for &'a i64[src]

type Output = <i64 as BitOr<i64>>::Output

impl<'a> BitOr<u32> for &'a u32[src]

type Output = <u32 as BitOr<u32>>::Output

impl<'_, '_> BitOr<&'_ i64> for &'_ i64[src]

type Output = <i64 as BitOr<i64>>::Output

impl BitOr<NonZeroU128> for u128[src]

impl BitOr<NonZeroIsize> for isize[src]

impl BitOr<u16> for u16[src]

type Output = u16

impl BitOr<u128> for u128[src]

type Output = u128

impl BitOr<NonZeroI128> for i128[src]

impl BitOr<i128> for i128[src]

type Output = i128

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for u16 where
    D: Dimension,
    S: Data<Elem = u16>, 
[src]

type Output = ArrayBase<OwnedRepr<u16>, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for u64 where
    D: Dimension,
    S: Data<Elem = u64>, 
[src]

type Output = ArrayBase<OwnedRepr<u64>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for i128 where
    D: Dimension,
    S: DataOwned<Elem = i128> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for bool where
    D: Dimension,
    S: Data<Elem = bool>, 
[src]

type Output = ArrayBase<OwnedRepr<bool>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for u8 where
    D: Dimension,
    S: DataOwned<Elem = u8> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<S, D> BitOr<ArrayBase<S, D>> for i32 where
    D: Dimension,
    S: DataOwned<Elem = i32> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for i64 where
    D: Dimension,
    S: Data<Elem = i64>, 
[src]

type Output = ArrayBase<OwnedRepr<i64>, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for u128 where
    D: Dimension,
    S: Data<Elem = u128>, 
[src]

type Output = ArrayBase<OwnedRepr<u128>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for i16 where
    D: Dimension,
    S: DataOwned<Elem = i16> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<S, D> BitOr<ArrayBase<S, D>> for u16 where
    D: Dimension,
    S: DataOwned<Elem = u16> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for u8 where
    D: Dimension,
    S: Data<Elem = u8>, 
[src]

type Output = ArrayBase<OwnedRepr<u8>, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for u32 where
    D: Dimension,
    S: Data<Elem = u32>, 
[src]

type Output = ArrayBase<OwnedRepr<u32>, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for i16 where
    D: Dimension,
    S: Data<Elem = i16>, 
[src]

type Output = ArrayBase<OwnedRepr<i16>, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for i32 where
    D: Dimension,
    S: Data<Elem = i32>, 
[src]

type Output = ArrayBase<OwnedRepr<i32>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for bool where
    D: Dimension,
    S: DataOwned<Elem = bool> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for i8 where
    D: Dimension,
    S: Data<Elem = i8>, 
[src]

type Output = ArrayBase<OwnedRepr<i8>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for i8 where
    D: Dimension,
    S: DataOwned<Elem = i8> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<S, D> BitOr<ArrayBase<S, D>> for u64 where
    D: Dimension,
    S: DataOwned<Elem = u64> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<S, D> BitOr<ArrayBase<S, D>> for u128 where
    D: Dimension,
    S: DataOwned<Elem = u128> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<S, D> BitOr<ArrayBase<S, D>> for i64 where
    D: Dimension,
    S: DataOwned<Elem = i64> + DataMut
[src]

type Output = ArrayBase<S, D>

impl<'a, S, D> BitOr<&'a ArrayBase<S, D>> for i128 where
    D: Dimension,
    S: Data<Elem = i128>, 
[src]

type Output = ArrayBase<OwnedRepr<i128>, D>

impl<S, D> BitOr<ArrayBase<S, D>> for u32 where
    D: Dimension,
    S: DataOwned<Elem = u32> + DataMut
[src]

type Output = ArrayBase<S, D>

Loading content...

Implementors

impl BitOr<i8> for NonZeroI8[src]

type Output = NonZeroI8

impl BitOr<i16> for NonZeroI16[src]

type Output = NonZeroI16

impl BitOr<i32> for NonZeroI32[src]

type Output = NonZeroI32

impl BitOr<i64> for NonZeroI64[src]

type Output = NonZeroI64

impl BitOr<i128> for NonZeroI128[src]

type Output = NonZeroI128

impl BitOr<isize> for NonZeroIsize[src]

type Output = NonZeroIsize

impl BitOr<u8> for NonZeroU8[src]

type Output = NonZeroU8

impl BitOr<u16> for NonZeroU16[src]

type Output = NonZeroU16

impl BitOr<u32> for NonZeroU32[src]

type Output = NonZeroU32

impl BitOr<u64> for NonZeroU64[src]

type Output = NonZeroU64

impl BitOr<u128> for NonZeroU128[src]

type Output = NonZeroU128

impl BitOr<usize> for NonZeroUsize[src]

type Output = NonZeroUsize

impl BitOr<NonZeroI8> for NonZeroI8[src]

type Output = NonZeroI8

impl BitOr<NonZeroI16> for NonZeroI16[src]

impl BitOr<NonZeroI32> for NonZeroI32[src]

impl BitOr<NonZeroI64> for NonZeroI64[src]

impl BitOr<NonZeroI128> for NonZeroI128[src]

type Output = NonZeroI128

impl BitOr<NonZeroIsize> for NonZeroIsize[src]

type Output = NonZeroIsize

impl BitOr<NonZeroU8> for NonZeroU8[src]

type Output = NonZeroU8

impl BitOr<NonZeroU16> for NonZeroU16[src]

impl BitOr<NonZeroU32> for NonZeroU32[src]

impl BitOr<NonZeroU64> for NonZeroU64[src]

impl BitOr<NonZeroU128> for NonZeroU128[src]

type Output = NonZeroU128

impl BitOr<NonZeroUsize> for NonZeroUsize[src]

type Output = NonZeroUsize

impl BitOr<Wrapping<i8>> for Wrapping<i8>[src]

type Output = Wrapping<i8>

impl BitOr<Wrapping<i16>> for Wrapping<i16>[src]

type Output = Wrapping<i16>

impl BitOr<Wrapping<i32>> for Wrapping<i32>[src]

type Output = Wrapping<i32>

impl BitOr<Wrapping<i64>> for Wrapping<i64>[src]

type Output = Wrapping<i64>

impl BitOr<Wrapping<i128>> for Wrapping<i128>[src]

type Output = Wrapping<i128>

impl BitOr<Wrapping<isize>> for Wrapping<isize>[src]

type Output = Wrapping<isize>

impl BitOr<Wrapping<u8>> for Wrapping<u8>[src]

type Output = Wrapping<u8>

impl BitOr<Wrapping<u16>> for Wrapping<u16>[src]

type Output = Wrapping<u16>

impl BitOr<Wrapping<u32>> for Wrapping<u32>[src]

type Output = Wrapping<u32>

impl BitOr<Wrapping<u64>> for Wrapping<u64>[src]

type Output = Wrapping<u64>

impl BitOr<Wrapping<u128>> for Wrapping<u128>[src]

type Output = Wrapping<u128>

impl BitOr<Wrapping<usize>> for Wrapping<usize>[src]

type Output = Wrapping<usize>

impl<'_> BitOr<&'_ Wrapping<i8>> for Wrapping<i8>[src]

type Output = <Wrapping<i8> as BitOr<Wrapping<i8>>>::Output

impl<'_> BitOr<&'_ Wrapping<i16>> for Wrapping<i16>[src]

type Output = <Wrapping<i16> as BitOr<Wrapping<i16>>>::Output

impl<'_> BitOr<&'_ Wrapping<i32>> for Wrapping<i32>[src]

type Output = <Wrapping<i32> as BitOr<Wrapping<i32>>>::Output

impl<'_> BitOr<&'_ Wrapping<i64>> for Wrapping<i64>[src]

type Output = <Wrapping<i64> as BitOr<Wrapping<i64>>>::Output

impl<'_> BitOr<&'_ Wrapping<i128>> for Wrapping<i128>[src]

type Output = <Wrapping<i128> as BitOr<Wrapping<i128>>>::Output

impl<'_> BitOr<&'_ Wrapping<isize>> for Wrapping<isize>[src]

type Output = <Wrapping<isize> as BitOr<Wrapping<isize>>>::Output

impl<'_> BitOr<&'_ Wrapping<u8>> for Wrapping<u8>[src]

type Output = <Wrapping<u8> as BitOr<Wrapping<u8>>>::Output

impl<'_> BitOr<&'_ Wrapping<u16>> for Wrapping<u16>[src]

type Output = <Wrapping<u16> as BitOr<Wrapping<u16>>>::Output

impl<'_> BitOr<&'_ Wrapping<u32>> for Wrapping<u32>[src]

type Output = <Wrapping<u32> as BitOr<Wrapping<u32>>>::Output

impl<'_> BitOr<&'_ Wrapping<u64>> for Wrapping<u64>[src]

type Output = <Wrapping<u64> as BitOr<Wrapping<u64>>>::Output

impl<'_> BitOr<&'_ Wrapping<u128>> for Wrapping<u128>[src]

type Output = <Wrapping<u128> as BitOr<Wrapping<u128>>>::Output

impl<'_> BitOr<&'_ Wrapping<usize>> for Wrapping<usize>[src]

type Output = <Wrapping<usize> as BitOr<Wrapping<usize>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<i8>> for &'_ Wrapping<i8>[src]

type Output = <Wrapping<i8> as BitOr<Wrapping<i8>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<i16>> for &'_ Wrapping<i16>[src]

type Output = <Wrapping<i16> as BitOr<Wrapping<i16>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<i32>> for &'_ Wrapping<i32>[src]

type Output = <Wrapping<i32> as BitOr<Wrapping<i32>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<i64>> for &'_ Wrapping<i64>[src]

type Output = <Wrapping<i64> as BitOr<Wrapping<i64>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<i128>> for &'_ Wrapping<i128>[src]

type Output = <Wrapping<i128> as BitOr<Wrapping<i128>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<isize>> for &'_ Wrapping<isize>[src]

type Output = <Wrapping<isize> as BitOr<Wrapping<isize>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<u8>> for &'_ Wrapping<u8>[src]

type Output = <Wrapping<u8> as BitOr<Wrapping<u8>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<u16>> for &'_ Wrapping<u16>[src]

type Output = <Wrapping<u16> as BitOr<Wrapping<u16>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<u32>> for &'_ Wrapping<u32>[src]

type Output = <Wrapping<u32> as BitOr<Wrapping<u32>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<u64>> for &'_ Wrapping<u64>[src]

type Output = <Wrapping<u64> as BitOr<Wrapping<u64>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<u128>> for &'_ Wrapping<u128>[src]

type Output = <Wrapping<u128> as BitOr<Wrapping<u128>>>::Output

impl<'_, '_> BitOr<&'_ Wrapping<usize>> for &'_ Wrapping<usize>[src]

type Output = <Wrapping<usize> as BitOr<Wrapping<usize>>>::Output

impl<'_, '_, T> BitOr<&'_ BTreeSet<T>> for &'_ BTreeSet<T> where
    T: Clone + Ord
[src]

type Output = BTreeSet<T>

pub fn bitor(self, rhs: &BTreeSet<T>) -> BTreeSet<T>[src]

Returns the union of self and rhs as a new BTreeSet<T>.

Examples

use std::collections::BTreeSet;

let a: BTreeSet<_> = vec![1, 2, 3].into_iter().collect();
let b: BTreeSet<_> = vec![3, 4, 5].into_iter().collect();

let result = &a | &b;
let result_vec: Vec<_> = result.into_iter().collect();
assert_eq!(result_vec, [1, 2, 3, 4, 5]);

impl<'_, '_, T, S> BitOr<&'_ HashSet<T, S>> for &'_ HashSet<T, S> where
    S: BuildHasher + Default,
    T: Eq + Hash + Clone
[src]

type Output = HashSet<T, S>

pub fn bitor(self, rhs: &HashSet<T, S>) -> HashSet<T, S>[src]

Returns the union of self and rhs as a new HashSet<T, S>.

Examples

use std::collections::HashSet;

let a: HashSet<_> = vec![1, 2, 3].into_iter().collect();
let b: HashSet<_> = vec![3, 4, 5].into_iter().collect();

let set = &a | &b;

let mut i = 0;
let expected = [1, 2, 3, 4, 5];
for x in &set {
    assert!(expected.contains(x));
    i += 1;
}
assert_eq!(i, expected.len());

impl<'a> BitOr<Wrapping<i8>> for &'a Wrapping<i8>[src]

type Output = <Wrapping<i8> as BitOr<Wrapping<i8>>>::Output

impl<'a> BitOr<Wrapping<i16>> for &'a Wrapping<i16>[src]

type Output = <Wrapping<i16> as BitOr<Wrapping<i16>>>::Output

impl<'a> BitOr<Wrapping<i32>> for &'a Wrapping<i32>[src]

type Output = <Wrapping<i32> as BitOr<Wrapping<i32>>>::Output

impl<'a> BitOr<Wrapping<i64>> for &'a Wrapping<i64>[src]

type Output = <Wrapping<i64> as BitOr<Wrapping<i64>>>::Output

impl<'a> BitOr<Wrapping<i128>> for &'a Wrapping<i128>[src]

type Output = <Wrapping<i128> as BitOr<Wrapping<i128>>>::Output

impl<'a> BitOr<Wrapping<isize>> for &'a Wrapping<isize>[src]

type Output = <Wrapping<isize> as BitOr<Wrapping<isize>>>::Output

impl<'a> BitOr<Wrapping<u8>> for &'a Wrapping<u8>[src]

type Output = <Wrapping<u8> as BitOr<Wrapping<u8>>>::Output

impl<'a> BitOr<Wrapping<u16>> for &'a Wrapping<u16>[src]

type Output = <Wrapping<u16> as BitOr<Wrapping<u16>>>::Output

impl<'a> BitOr<Wrapping<u32>> for &'a Wrapping<u32>[src]

type Output = <Wrapping<u32> as BitOr<Wrapping<u32>>>::Output

impl<'a> BitOr<Wrapping<u64>> for &'a Wrapping<u64>[src]

type Output = <Wrapping<u64> as BitOr<Wrapping<u64>>>::Output

impl<'a> BitOr<Wrapping<u128>> for &'a Wrapping<u128>[src]

type Output = <Wrapping<u128> as BitOr<Wrapping<u128>>>::Output

impl<'a> BitOr<Wrapping<usize>> for &'a Wrapping<usize>[src]

type Output = <Wrapping<usize> as BitOr<Wrapping<usize>>>::Output

impl<'a, A, B, S, S2, D, E> BitOr<&'a ArrayBase<S2, E>> for &'a ArrayBase<S, D> where
    A: Clone + BitOr<B, Output = A>,
    B: Clone,
    D: Dimension,
    E: Dimension,
    S: Data<Elem = A>,
    S2: Data<Elem = B>, 
[src]

Perform elementwise bit or between references self and rhs, and return the result as a new Array.

If their shapes disagree, rhs is broadcast to the shape of self.

Panics if broadcasting isn’t possible.

type Output = ArrayBase<OwnedRepr<A>, D>

impl<'a, A, B, S, S2, D, E> BitOr<&'a ArrayBase<S2, E>> for ArrayBase<S, D> where
    A: Clone + BitOr<B, Output = A>,
    B: Clone,
    D: Dimension,
    E: Dimension,
    S: DataOwned<Elem = A> + DataMut,
    S2: Data<Elem = B>, 
[src]

Perform elementwise bit or between self and reference rhs, and return the result (based on self).

If their shapes disagree, rhs is broadcast to the shape of self.

Panics if broadcasting isn’t possible.

type Output = ArrayBase<S, D>

impl<'a, A, S, D, B> BitOr<B> for &'a ArrayBase<S, D> where
    A: Clone + BitOr<B, Output = A>,
    B: ScalarOperand,
    D: Dimension,
    S: Data<Elem = A>, 
[src]

Perform elementwise bit or between the reference self and the scalar x, and return the result as a new Array.

type Output = ArrayBase<OwnedRepr<A>, D>

impl<A, B, S, S2, D, E> BitOr<ArrayBase<S2, E>> for ArrayBase<S, D> where
    A: Clone + BitOr<B, Output = A>,
    B: Clone,
    D: Dimension,
    E: Dimension,
    S: DataOwned<Elem = A> + DataMut,
    S2: Data<Elem = B>, 
[src]

Perform elementwise bit or between self and rhs, and return the result (based on self).

self must be an Array or ArcArray.

If their shapes disagree, rhs is broadcast to the shape of self.

Panics if broadcasting isn’t possible.

type Output = ArrayBase<S, D>

impl<A, S, D, B> BitOr<B> for ArrayBase<S, D> where
    A: Clone + BitOr<B, Output = A>,
    B: ScalarOperand,
    D: Dimension,
    S: DataOwned<Elem = A> + DataMut
[src]

Perform elementwise bit or between self and the scalar x, and return the result (based on self).

self must be an Array or ArcArray.

type Output = ArrayBase<S, D>

Loading content...