[][src]Module sv_parser::declarations

Modules

assertion_declarations
block_item_declarations
covergroup_declarations
declaration_assignments
declaration_lists
declaration_ranges
delays
function_declarations
interface_declarations
let_declarations
module_parameter_declarations
net_and_variable_types
port_declarations
strengths
task_declarations
type_declarations

Structs

AssertPropertyStatement
AssertionVariableDeclaration
AssociativeDimensionAsterisk
AssociativeDimensionDataType
AssumePropertyStatement
BinsExpressionCoverPoint
BinsOrEmptyNonEmpty
BinsOrOptionsCoverPoint
BinsOrOptionsCovergroup
BinsOrOptionsDefault
BinsOrOptionsDefaultSequence
BinsOrOptionsSetCovergroup
BinsOrOptionsTransList
BinsSelection
BinsSelectionOrOptionBins
BinsSelectionOrOptionCoverage
BlockEventExpressionBegin
BlockEventExpressionEnd
BlockEventExpressionOr
BlockItemDeclarationData
BlockItemDeclarationLet
BlockItemDeclarationLocalParameter
BlockItemDeclarationParameter
ChargeStrengthLarge
ChargeStrengthMedium
ChargeStrengthSmall
ClassNewArgument
ClassNewExpression
ClassScope
ClassType
ConcurrentAssertionItemStatement
ConsecutiveRepetitionAsterisk
ConsecutiveRepetitionExpression
ConsecutiveRepetitionPlus
Const
CoverCross
CoverPoint
CoverPropertyStatement
CoverSequenceStatement
CoverageEventAt
CoverageEventSample
CoverageOptionOption
CoverageOptionTypeOption
CoverageSpecOrOptionOption
CoverageSpecOrOptionSpec
CovergroupDeclaration
CovergroupExpression
CovergroupRangeList
CovergroupValueRangeBinary
CrossBodyNonEmpty
CrossSetExpression
CycleDelayConstRangeExpressionBinary
CycleDelayConstRangeExpressionDollar
CycleDelayRangeAsterisk
CycleDelayRangeExpression
CycleDelayRangePlus
CycleDelayRangePrimary
DataDeclarationVariable
DataTypeAtom
DataTypeEnum
DataTypeStructUnion
DataTypeType
DataTypeVector
DataTypeVirtual
DefparamAssignment
Delay2Mintypmax
Delay2Single
Delay3Mintypmax
Delay3Single
DpiFunctionProto
DpiImportExportExportFunction
DpiImportExportExportTask
DpiImportExportImportFunction
DpiImportExportImportTask
DpiTaskProto
DriveStrength0z
DriveStrength01
DriveStrength1z
DriveStrength10
DriveStrengthz0
DriveStrengthz1
DynamicArrayNew
EnumBaseTypeAtom
EnumBaseTypeType
EnumBaseTypeVector
EnumNameDeclaration
ErrorLimitValue
ExpectPropertyStatement
ExpressionOrDist
FunctionBodyDeclarationWithPort
FunctionBodyDeclarationWithoutPort
FunctionDeclaration
FunctionPrototype
GenvarDeclaration
GotoRepetition
HierarchicalBtfIdentifierMethod
ImplicitDataType
InoutDeclaration
InputDeclarationNet
InputDeclarationVariable
IntegerCovergroupExpression
Interface
InterfacePortDeclaration
LetActualArg
LetDeclaration
LetExpression
LetIdentifier
LetListOfArgumentsNamed
LetListOfArgumentsOrdered
LetPortItem
LetPortList
LimitValue
ListOfCrossItems
ListOfDefparamAssignments
ListOfGenvarIdentifiers
ListOfInterfaceIdentifiers
ListOfNetDeclAssignments
ListOfParamAssignments
ListOfPortIdentifiers
ListOfSpecparamAssignments
ListOfTfVariableIdentifiers
ListOfTypeAssignments
ListOfUdpPortIdentifiers
ListOfVariableDeclAssignments
ListOfVariableIdentifiers
ListOfVariablePortIdentifiers
LocalParameterDeclarationParam
LocalParameterDeclarationType
ModportClockingDeclaration
ModportDeclaration
ModportItem
ModportPortsDeclarationClocking
ModportPortsDeclarationSimple
ModportPortsDeclarationTf
ModportSimplePortNamed
ModportSimplePortOrdered
ModportSimplePortsDeclaration
ModportTfPortsDeclaration
NetDeclAssignment
NetDeclarationInterconnect
NetDeclarationNetType
NetDeclarationNetTypeIdentifier
NetPortTypeDataType
NetPortTypeInterconnect
NetTypeDeclarationDataType
NetTypeDeclarationNetType
NonConsecutiveRepetition
OutputDeclarationNet
OutputDeclarationVariable
PackageExportDeclarationAsterisk
PackageExportDeclarationItem
PackageImportDeclaration
PackageImportItemAsterisk
PackageImportItemIdentifier
Packed
PackedDimensionRange
ParamAssignment
ParameterDeclarationParam
ParameterDeclarationType
PropertyCaseItemDefault
PropertyCaseItemNondefault
PropertyDeclaration
PropertyExprAcceptOn
PropertyExprAlways
PropertyExprBinaryProperty
PropertyExprBinarySequence
PropertyExprCase
PropertyExprClockingEvent
PropertyExprEventually
PropertyExprIf
PropertyExprNexttime
PropertyExprNot
PropertyExprParen
PropertyExprRejectOn
PropertyExprSAlways
PropertyExprSEventually
PropertyExprSNexttime
PropertyExprStrong
PropertyExprSyncAcceptOn
PropertyExprSyncRejectOn
PropertyExprWeak
PropertyInstance
PropertyListOfArgumentsNamed
PropertyListOfArgumentsOrdered
PropertyPortItem
PropertyPortList
PropertySpec
PulseControlSpecparamWithDescriptor
PulseControlSpecparamWithoutDescriptor
QueueDimension
RefDeclaration
RejectLimitValue
RepeatRangeBinary
RestrictPropertyStatement
SelectCondition
SelectExpressionAnd
SelectExpressionCrossSet
SelectExpressionNot
SelectExpressionOr
SelectExpressionParen
SelectExpressionWith
SequenceAbbrev
SequenceDeclaration
SequenceExprBinary
SequenceExprClockingEvent
SequenceExprCycleDelayExpr
SequenceExprExprCycleDelayExpr
SequenceExprExpression
SequenceExprFirstMatch
SequenceExprInstance
SequenceExprParen
SequenceExprThroughout
SequenceInstance
SequenceListOfArgumentsNamed
SequenceListOfArgumentsOrdered
SequenceMethodCall
SequencePortItem
SequencePortList
SetCovergroupExpression
SpecparamAssignmentMintypmax
SpecparamDeclaration
StructUnionMember
TaskBodyDeclarationWithPort
TaskBodyDeclarationWithoutPort
TaskDeclaration
TaskPrototype
TfPortDeclaration
TfPortItem
TfPortList
TransItem
TransList
TransRangeListArrow
TransRangeListAsterisk
TransRangeListEqual
TransSet
TypeAssignment
TypeDeclarationDataType
TypeDeclarationInterface
TypeDeclarationReserved
TypeReferenceDataType
TypeReferenceExpression
UnpackedDimensionExpression
UnpackedDimensionRange
UnsizedDimension
VarDataTypeVar
VariableDeclAssignmentClass
VariableDeclAssignmentDynamicArray
VariableDeclAssignmentVariable
VariablePortType
Wildcard
WithCovergroupExpression

Enums

AssertionItemDeclaration
AssociativeDimension
BinsExpression
BinsKeyword
BinsOrEmpty
BinsOrOptions
BinsSelectionOrOption
BlockEventExpression
BlockItemDeclaration
BooleanAbbrev
CastingType
ChargeStrength
ClassNew
ConcurrentAssertionItem
ConcurrentAssertionStatement
ConsecutiveRepetition
ConstOrRangeExpression
CoverageEvent
CoverageOption
CoverageSpec
CoverageSpecOrOption
CovergroupValueRange
CrossBody
CrossBodyItem
CrossItem
CycleDelayConstRangeExpression
CycleDelayRange
DataDeclaration
DataType
DataTypeOrImplicit
DataTypeOrVoid
Delay2
Delay3
DelayValue
DpiFunctionImportProperty
DpiImportExport
DpiSpecString
DpiTaskImportProperty
DriveStrength
EnumBaseType
FunctionBodyDeclaration
FunctionDataTypeOrImplicit
HierarchicalBtfIdentifier
HierarchicalIdentifierOrClassScope
ImportExport
InputDeclaration
IntegerAtomType
IntegerType
IntegerVectorType
InterfaceIdentifierOrClassScope
LetFormalType
LetListOfArguments
Lifetime
LocalParameterDeclaration
ModportPortsDeclaration
ModportSimplePort
ModportTfPort
NetDeclaration
NetPortType
NetType
NetTypeDeclaration
NonIntegerType
OutputDeclaration
PackageExportDeclaration
PackageImportItem
PackedDimension
ParameterDeclaration
PropertyActualArg
PropertyCaseItem
PropertyExpr
PropertyFormalType
PropertyListOfArguments
PropertyLvarPortDirection
PulseControlSpecparam
RepeatRange
SelectExpression
SequenceActualArg
SequenceExpr
SequenceFormalType
SequenceListOfArguments
SequenceLvarPortDirection
SequenceMatchItem
Signing
SimpleType
SpecparamAssignment
Strength
Strength0
Strength1
StructUnion
TaskBodyDeclaration
TfItemDeclaration
TfPortDirection
TransRangeList
TypeDeclaration
TypeDeclarationKeyword
TypeReference
UnpackedDimension
VarDataType
VariableDeclAssignment
VariableDimension
VectorScalar