moore 0.1.0

A compiler for hardware description languages.
Documentation
- VHDL and SVLOG entity/module/packages with same name cause error