[][src]Enum moore_svlog::hir::BuiltinCall

pub enum BuiltinCall {
    Unsupported,
    Clog2(NodeId),
    Bits(NodeId),
    Signed(NodeId),
    Unsigned(NodeId),
}

The different builtin function calls that are supported.

Variants

Unsupported

An unsupported builtin. Will yield constant 0.

Clog2(NodeId)

A call to the ceil-log2 function $clog2(x).

Bits(NodeId)

A call to the storage size function $bits(x).

Signed(NodeId)

A call to the convert-to-signed function $signed(x).

Unsigned(NodeId)

A call to the convert-to-unsigned function $unsigned(x).

Trait Implementations

impl Clone for BuiltinCall[src]

impl Copy for BuiltinCall[src]

impl Debug for BuiltinCall[src]

impl Eq for BuiltinCall[src]

impl PartialEq<BuiltinCall> for BuiltinCall[src]

impl StructuralEq for BuiltinCall[src]

impl StructuralPartialEq for BuiltinCall[src]

Auto Trait Implementations

Blanket Implementations

impl<T> Any for T where
    T: 'static + ?Sized
[src]

impl<T> Borrow<T> for T where
    T: ?Sized
[src]

impl<T> BorrowMut<T> for T where
    T: ?Sized
[src]

impl<Q, K> Equivalent<K> for Q where
    K: Borrow<Q> + ?Sized,
    Q: Eq + ?Sized
[src]

impl<T> Erased for T

impl<T> From<T> for T[src]

impl<T, U> Into<U> for T where
    U: From<T>, 
[src]

impl<T> ToOwned for T where
    T: Clone
[src]

type Owned = T

The resulting type after obtaining ownership.

impl<T, U> TryFrom<U> for T where
    U: Into<T>, 
[src]

type Error = Infallible

The type returned in the event of a conversion error.

impl<T, U> TryInto<U> for T where
    U: TryFrom<T>, 
[src]

type Error = <U as TryFrom<T>>::Error

The type returned in the event of a conversion error.