[][src]Trait moore_svlog_syntax::ast::BasicNode

pub trait BasicNode<'a>: Debug + AcceptVisitor<'a> + ForEachChild<'a> + ForEachNode<'a> {
    fn type_name(&self) -> &'static str;
fn as_all(&'a self) -> AllNode<'a>;
fn as_any(&'a self) -> &'a dyn AnyNode<'a>; }

Basic attributes of an AST node.

If this trait is present on Node<T>, then Node<T> will automatically implement the full AnyNode trait.

Required methods

fn type_name(&self) -> &'static str

Get the type name of the node.

fn as_all(&'a self) -> AllNode<'a>

Convert this node to the exhaustive AllNode enum.

fn as_any(&'a self) -> &'a dyn AnyNode<'a>

Convert this node to an AnyNode trait object.

Loading content...

Implementors

impl<'a> BasicNode<'a> for TypeOrExpr<'a>[src]

impl<'a> BasicNode<'a> for ClassDecl<'a>[src]

impl<'a> BasicNode<'a> for ContAssign<'a>[src]

impl<'a> BasicNode<'a> for DataType<'a>[src]

impl<'a> BasicNode<'a> for DpiDecl<'a>[src]

impl<'a> BasicNode<'a> for Enum<'a>[src]

impl<'a> BasicNode<'a> for EnumName<'a>[src]

impl<'a> BasicNode<'a> for Expr<'a>[src]

impl<'a> BasicNode<'a> for ForeachIndex<'a>[src]

impl<'a> BasicNode<'a> for GenerateBlock<'a>[src]

impl<'a> BasicNode<'a> for GenerateCase<'a>[src]

impl<'a> BasicNode<'a> for GenerateFor<'a>[src]

impl<'a> BasicNode<'a> for GenerateIf<'a>[src]

impl<'a> BasicNode<'a> for GenvarDecl<'a>[src]

impl<'a> BasicNode<'a> for ImplicitDataType<'a>[src]

impl<'a> BasicNode<'a> for ImportDecl<'a>[src]

impl<'a> BasicNode<'a> for ImportItem<'a>[src]

impl<'a> BasicNode<'a> for Inst<'a>[src]

impl<'a> BasicNode<'a> for InstName<'a>[src]

impl<'a> BasicNode<'a> for Interface<'a>[src]

impl<'a> BasicNode<'a> for Item<'a>[src]

impl<'a> BasicNode<'a> for Modport<'a>[src]

impl<'a> BasicNode<'a> for ModportName<'a>[src]

impl<'a> BasicNode<'a> for ModportPort<'a>[src]

impl<'a> BasicNode<'a> for ModportSimplePort<'a>[src]

impl<'a> BasicNode<'a> for Module<'a>[src]

impl<'a> BasicNode<'a> for NetDecl<'a>[src]

impl<'a> BasicNode<'a> for Package<'a>[src]

impl<'a> BasicNode<'a> for PackedDim<'a>[src]

impl<'a> BasicNode<'a> for ParamDecl<'a>[src]

impl<'a> BasicNode<'a> for ParamTypeDecl<'a>[src]

impl<'a> BasicNode<'a> for ParamValueDecl<'a>[src]

impl<'a> BasicNode<'a> for PathSegment<'a>[src]

impl<'a> BasicNode<'a> for PatternField<'a>[src]

impl<'a> BasicNode<'a> for Port<'a>[src]

impl<'a> BasicNode<'a> for PortConn<'a>[src]

impl<'a> BasicNode<'a> for PortDecl<'a>[src]

impl<'a> BasicNode<'a> for Procedure<'a>[src]

impl<'a> BasicNode<'a> for Root<'a>[src]

impl<'a> BasicNode<'a> for SourceFile<'a>[src]

impl<'a> BasicNode<'a> for Stmt<'a>[src]

impl<'a> BasicNode<'a> for Struct<'a>[src]

impl<'a> BasicNode<'a> for StructMember<'a>[src]

impl<'a> BasicNode<'a> for SubroutineDecl<'a>[src]

impl<'a> BasicNode<'a> for SubroutinePort<'a>[src]

impl<'a> BasicNode<'a> for SubroutinePrototype<'a>[src]

impl<'a> BasicNode<'a> for Type<'a>[src]

impl<'a> BasicNode<'a> for TypeKind<'a>[src]

impl<'a> BasicNode<'a> for Typedef<'a>[src]

impl<'a> BasicNode<'a> for UnpackedDim<'a>[src]

impl<'a> BasicNode<'a> for VarDecl<'a>[src]

impl<'a> BasicNode<'a> for VarDeclName<'a>[src]

impl<'a> BasicNode<'a> for VarDim<'a>[src]

Loading content...