modular-bitfield 0.11.2

Allows to easily define bitfield types with modular building blocks.
Documentation
use modular_bitfield::prelude::*;

#[derive(BitfieldSpecifier)]
pub struct InvalidStructSpecifier {
    a: bool,
    b: B7,
    c: u8,
}

fn main() {}