[][src]Module fermium::SDL_JoystickPowerLevel

Constants

SDL_JOYSTICK_POWER_EMPTY
SDL_JOYSTICK_POWER_FULL
SDL_JOYSTICK_POWER_LOW
SDL_JOYSTICK_POWER_MAX
SDL_JOYSTICK_POWER_MEDIUM
SDL_JOYSTICK_POWER_UNKNOWN
SDL_JOYSTICK_POWER_WIRED

Type Definitions

Type