Macro doe::vec_slice

source ·
macro_rules! vec_slice {
    ($vec:expr,$range:expr) => { ... };
}
Expand description

slice vec by range

use doe::*;
let v1 = vec_slice!(vec![1.2, 1.5, 9.0], 0..2);
let v2 = vec_slice!(vec![1, 1, 9, 90, 87, 0, 2], 4..6);
let v3 = vec_slice!(vec![1.2, 1.5, 9.0], 0..3);
let v4 = vec_slice!(vec![1.2, 1.5, 9.0], 1..3);
let v5 = vec_slice!(vec!["1", "2", "3", "4", "5"], 2..5);
let v6 = vec_slice!(vec!["1".to_string(),"2".to_string(),"3".to_string()], 1..2);
assert_eq!(v1, vec![1.2, 1.5]);
assert_eq!(v2, vec![87, 0]);
assert_eq!(v3, vec![1.2, 1.5, 9.0]);
assert_eq!(v4, vec![1.5, 9.0]);
assert_eq!(v5, vec!["3", "4", "5"]);
assert_eq!(v6,vec!["2".to_string()]);