[][src]Trait agnes::label::SetDiff

pub trait SetDiff<RightSet> {
    type Set;
}

Determines the set difference between an LVCons label set and another LVCons label set RightSet.

Associated Types

type Set

The set of labels that exist in Self and not in RightSet.

Loading content...

Implementors

impl<LLabel, LValue, LTail> SetDiff<Nil> for LVCons<LLabel, LValue, LTail>[src]

type Set = LVCons<LLabel, LValue, LTail>

impl<LLabel, LValue, LTail, RLabel, RValue, RTail> SetDiff<Cons<Labeled<RLabel, RValue>, RTail>> for LVCons<LLabel, LValue, LTail> where
    Self: SetDiffStep<LVCons<RLabel, RValue, RTail>, LVCons<RLabel, RValue, RTail>>, 
[src]

type Set = Self::Set

impl<RSet> SetDiff<RSet> for Nil[src]

type Set = Nil

Loading content...