macro_rules! try_syscall {
    ($pre_future_result:expr) => { ... };
}