[][src]Function secretbox::chacha20::trivial::diagonal_round

pub fn diagonal_round(state: [u32; 16]) -> [u32; 16]