1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
//! This module defines the client data structure - the main entry point of communication
//! to the saleae

use anyhow::{anyhow, Result};
use std::io::{BufReader, BufWriter, Read, Write};
use std::net::TcpStream;

use crate::device::ConnectedDevice;
use crate::performance::PerformanceOption;
use crate::request::Request;
use crate::response;
use crate::samplerate::SampleRate;

#[faux::create]
#[derive(Debug)]
pub struct Connection {
    stream: TcpStream,
}

#[faux::methods]
impl Connection {
    pub fn new(ip_port: &str) -> Self {
        Self {
            stream: TcpStream::connect(ip_port).unwrap(),
        }
    }

    pub fn general_recieve_ack(&mut self) -> Result<bool> {
        let r: String = std::str::from_utf8(&self.read_line()?)?.to_string();
        Ok(response::verify_ack(&r))
    }

    pub fn general_recieve_message(&mut self) -> Result<String> {
        let msg: String = std::str::from_utf8(&self.read_line()?)?.to_string();
        response::verify_ack(&msg);
        Ok(msg)
    }

    fn read_line(&mut self) -> Result<Vec<u8>> {
        let mut reader = BufReader::new(&self.stream);
        let mut buf = [0; 500];
        let len = reader.read(&mut buf)?;
        Ok(buf[..len].to_vec())
    }

    //TODO Support for parameters
    pub fn run_command(&mut self, command: &str) -> Result<()> {
        let mut writer = BufWriter::new(&self.stream);
        writer.write_all(command.as_bytes()).unwrap();
        Ok(())
    }
}

#[derive(Debug)]
/// Main interface for communication to Saleae Logic
pub struct Client {
    /// tcp stream with connection to saleae
    connection: Connection,
}

/// Constructor
impl Client {
    /// constructor
    //TODO make this create a connection from a string
    pub fn new(connection: Connection) -> Result<Self> {
        Ok(Self { connection })
    }
}

/// Interface for setting and getting Logic information
impl Client {
    /// Set a trigger of channels
    /// TODO create trigger methods/structs/tests

    pub fn set_num_samples(&mut self, num: u32) -> Result<bool> {
        self.connection
            .run_command(&format!("set_num_samples, {}\0", num))?;
        Ok(self.connection.general_recieve_ack()?)
    }

    pub fn get_num_samples(&mut self) -> Result<u32> {
        self.connection.run_command("get_num_samples\0")?;
        let response = self.connection.general_recieve_message()?;
        if response::verify_ack(&response) {
            Ok(response::parse_num_samples(&response::remove_ack(
                &response,
            )))
        } else {
            Err(anyhow!("No ACK found"))
        }
    }

    /// Set the capture duration to a length of time
    pub fn set_capture_seconds(&mut self, seconds: f32) -> Result<bool> {
        self.connection
            .run_command(&format!("set_capture_seconds, {}\0", seconds))?;
        Ok(self.connection.general_recieve_ack()?)
    }

    /// Set sample rate of saleae
    ///
    /// Note: Make sure to run `get_all_sample_rates` and set it from a available
    /// sample rate
    pub fn set_sample_rate(&mut self, rate: &SampleRate) -> Result<bool> {
        self.connection.run_command(&format!(
            "set_sample_rate, {}, {}\0",
            rate.DigitalSampleRate, rate.AnalogSampleRate
        ))?;
        Ok(self.connection.general_recieve_ack()?)
    }

    pub fn get_sample_rate(&mut self) -> Result<SampleRate> {
        self.connection.run_command("get_sample_rate\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_get_sample_rate(&response::remove_ack(
            &response,
        )))
    }

    pub fn get_all_sample_rates(&mut self) -> Result<Vec<SampleRate>> {
        self.connection.run_command("get_all_sample_rates\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_get_all_sample_rates(&response::remove_ack(
            &response,
        )))
    }

    /// Return current performance level of Logic
    pub fn get_performance(&mut self) -> Result<u8> {
        self.connection.run_command("get_performance\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_performance(&response::remove_ack(
            &response,
        )))
    }

    /// Set the performance value, controlling the USB traffic and quality
    pub fn set_performance(&mut self, perf: PerformanceOption) -> Result<bool> {
        let input = String::from(&format!("set_performance, {}\0", perf as i32));
        self.connection.run_command(&input)?;
        Ok(self.connection.general_recieve_ack()?)
    }

    //TODO get_capture_pretrigger_buffer_size

    /// Return current connected devices of Logic
    pub fn get_connected_devices(&mut self) -> Result<Vec<ConnectedDevice>> {
        self.connection.run_command("get_connected_devices\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_connected_devices(&response::remove_ack(
            &response,
        )))
    }

    /// Find index of device from the list of devices connected to Saleae
    ///
    /// Get current index of connected devices and find equal device to parameter.
    /// Send that device as active device to logic.
    ///
    /// Note: Indices start at 1, not 0
    /// TODO: test with multiple saleae
    pub fn select_active_device(&mut self, device: ConnectedDevice) -> Result<bool> {
        let b = self
            .get_connected_devices()
            .unwrap()
            .into_iter()
            .position(|a| a == device);
        self.connection
            .run_command(&format!("select_active_device, {}", b.unwrap() + 1))?;
        // Weirdly doesn't return an ACK
        Ok(true)
    }

    /// Return current active device of Logic
    pub fn get_active_device(&mut self) -> Result<ConnectedDevice> {
        self.connection.run_command("get_connected_devices\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(
            response::parse_connected_devices(&response::remove_ack(&response))
                .into_iter()
                .find(|a| a.is_active)
                .unwrap(),
        )
    }

    /// Parse the get active channels command into tuples of digital and analog
    /// channels that are current
    pub fn get_active_channels(&mut self) -> Result<Vec<Vec<u8>>> {
        self.connection.run_command("get_active_channels\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_get_active_channels(&response::remove_ack(
            &response,
        ))?)
    }

    /// Set the active channels for the Logic program
    ///
    /// # Example
    /// TODO add get_active_channels
    pub fn set_active_channels(
        &mut self,
        digital_channels: &[u8],
        analog_channels: &[u8],
    ) -> Result<bool> {
        self.connection
            .run_command(&Request::prepare_set_active_channels(
                digital_channels,
                analog_channels,
            )?)?;
        Ok(self.connection.general_recieve_ack()?)
    }

    /// Reset Active Channel
    pub fn reset_active_channels(&mut self) -> Result<bool> {
        self.connection.run_command("reset_active_channels\0")?;
        Ok(self.connection.general_recieve_ack()?)
    }

    //TODO get_digital_voltage_options OR get_full_scale_voltage_range
    //TODO set_full_scale_voltage_range

    /// Start Capture, without wating for ack/nack
    pub fn start_capture(&mut self) -> Result<bool> {
        self.connection.run_command("capture\0")?;
        Ok(true)
        // Doesn't return ACK
    }

    /// Start Capture, then wait until ack
    pub fn start_capture_block_until_finished(&mut self) -> Result<bool> {
        self.start_capture()?;
        Ok(self.connection.general_recieve_ack()?)
    }

    /// Check if processing is complete
    pub fn is_processing_complete(&mut self) -> Result<bool> {
        self.connection.run_command("is_processing_complete\0")?;
        let response = self.connection.general_recieve_message()?;
        Ok(response::parse_processing_complete(&response::remove_ack(
            &response,
        )))
    }

    /// Stop the saleae capture
    pub fn stop_capture(&mut self) -> Result<bool> {
        self.connection.run_command("stop_capture\0")?;
        Ok(self.connection.general_recieve_ack()?)
    }

    //TODO capture_to_file
    //TODO save_to_file
    //TODO load_from_file

    /// Close all tabs
    pub fn close_all_tabs(&mut self) -> Result<bool> {
        self.connection.run_command("close_all_tabs\0")?;
        Ok(self.connection.general_recieve_ack()?)
    }

    //TODO export_data2
    //TODO get_analyzers
    //TODO export_analyzer
    //TODO is_analyzer_complete
    //TODO get_capture_range
    //TODO get_viewstate
    //TODO get_viewstate
    //TODO exit
}