macro_rules! wait_clock_cycle {
    ($sim: ident, $($clock: ident).+, $me: expr) => { ... };
    ($sim: ident, $clock: ident, $me: expr, $count: expr) => { ... };
}