[][src]Module open_vaf::ast

Structs

Ast

An Ast representing a parsed Verilog-AMS project (root file); It provides stable indicies for every Node because the entire Tree is immutable once created; It uses preallocated constant size arrays for performance

BlockScope
BranchDeclaration
Condition
Discipline
Function
FunctionArg
HierarchicalId
Module
Nature
Net
Parameter
Port
SeqBlock
Variable
WhileLoop

Enums

BinaryOperator
Branch
BranchAccess
Expression
ModuleItem
NatureParentType
NetType
ParameterType
Primary
Statement
TopNode
UnaryOperator
VariableType