Module nrf91::spim0_ns::enable

source ·
Expand description

Enable SPIM

Structs

Value read from the register
Value to write to the register

Enums

Possible values of the field ENABLE
Values that can be written to the field ENABLE