[][src]Module nrf52832_pac::i2s::enable

Enable I2S module.

Structs

R

Value read from the register

W

Value to write to the register

_ENABLEW

Proxy

Enums

ENABLER

Possible values of the field ENABLE

ENABLEW

Values that can be written to the field ENABLE