[][src]Module nrf52810_pac::saadc::enable

Enable or disable ADC

Structs

R

Value read from the register

W

Value to write to the register

_ENABLEW

Proxy

Enums

ENABLER

Possible values of the field ENABLE

ENABLEW

Values that can be written to the field ENABLE