Module ibverbs::ffi[][src]

Direct access to low-level libverbs FFI.

Modules

ibv_port_state
ibv_qp_state
ibv_qp_type
ibv_wc_opcode
ibv_wc_status
ibv_wr_opcode

Structs

__pthread_cond_s
__pthread_cond_s__bindgen_ty_1__bindgen_ty_1
__pthread_cond_s__bindgen_ty_2__bindgen_ty_1
__pthread_internal_list
__pthread_mutex_s
_compat_ibv_port_attr
_ibv_device_ops
ib_uverbs_flow_action_esp
ib_uverbs_flow_action_esp_encap
ibv_access_flags
ibv_ah
ibv_ah_attr
ibv_alloc_dm_attr
ibv_async_event
ibv_comp_channel
ibv_context
ibv_context_ops
ibv_counter_attach_attr
ibv_counters
ibv_counters_init_attr
ibv_cq
ibv_cq_ex
ibv_cq_init_attr_ex
ibv_cq_moderation_caps
ibv_data_buf
ibv_device
ibv_device_attr
ibv_device_attr_ex
ibv_dm
ibv_ece
ibv_flow
ibv_flow_action
ibv_flow_action_esp_attr
ibv_flow_attr
ibv_flow_esp_filter
ibv_flow_eth_filter
ibv_flow_gre_filter
ibv_flow_ipv4_ext_filter
ibv_flow_ipv4_filter
ibv_flow_ipv6_filter
ibv_flow_mpls_filter
ibv_flow_spec
ibv_flow_spec__bindgen_ty_1__bindgen_ty_1
ibv_flow_spec_action_drop
ibv_flow_spec_action_handle
ibv_flow_spec_action_tag
ibv_flow_spec_counter_action
ibv_flow_spec_esp
ibv_flow_spec_eth
ibv_flow_spec_gre
ibv_flow_spec_ipv4
ibv_flow_spec_ipv4_ext
ibv_flow_spec_ipv6
ibv_flow_spec_mpls
ibv_flow_spec_tcp_udp
ibv_flow_spec_tunnel
ibv_flow_tcp_udp_filter
ibv_flow_tunnel_filter
ibv_gid__bindgen_ty_1
ibv_gid_entry
ibv_global_route
ibv_grh
ibv_moderate_cq
ibv_modify_cq_attr
ibv_mr
ibv_mw
ibv_mw_bind
ibv_mw_bind_info
ibv_odp_caps
ibv_odp_caps__bindgen_ty_1
ibv_ops_wr
ibv_ops_wr__bindgen_ty_1
ibv_ops_wr__bindgen_ty_1__bindgen_ty_1
ibv_packet_pacing_caps
ibv_parent_domain_init_attr
ibv_pci_atomic_caps
ibv_pd
ibv_poll_cq_attr
ibv_port_attr
ibv_port_cap_flags
ibv_qp
ibv_qp_attr
ibv_qp_attr_mask
ibv_qp_cap
ibv_qp_ex
ibv_qp_init_attr
ibv_qp_init_attr_ex
ibv_qp_open_attr
ibv_qp_rate_limit_attr
ibv_query_device_ex_input
ibv_recv_wr
ibv_rss_caps
ibv_rwq_ind_table
ibv_rwq_ind_table_init_attr
ibv_rx_hash_conf
ibv_send_flags
ibv_send_wr
ibv_send_wr__bindgen_ty_2__bindgen_ty_1
ibv_send_wr__bindgen_ty_2__bindgen_ty_2
ibv_send_wr__bindgen_ty_2__bindgen_ty_3
ibv_send_wr__bindgen_ty_3__bindgen_ty_1
ibv_send_wr__bindgen_ty_4__bindgen_ty_1
ibv_send_wr__bindgen_ty_4__bindgen_ty_2
ibv_sge
ibv_srq
ibv_srq_attr
ibv_srq_init_attr
ibv_srq_init_attr_ex
ibv_td
ibv_td_init_attr
ibv_tm_cap
ibv_tm_caps
ibv_tso_caps
ibv_values_ex
ibv_wc

An ibverb work completion.

ibv_wc_flags
ibv_wc_tm_info
ibv_wq
ibv_wq_attr
ibv_wq_init_attr
ibv_xrcd
ibv_xrcd_init_attr
timespec

Constants

IBV_ATOMIC_GLOB
IBV_ATOMIC_HCA
IBV_ATOMIC_NONE
IBV_COUNTER_BYTES
IBV_COUNTER_PACKETS
IBV_CQ_ATTR_MODERATE
IBV_CQ_ATTR_RESERVED
IBV_CQ_INIT_ATTR_MASK_FLAGS
IBV_CQ_INIT_ATTR_MASK_PD
IBV_CREATE_CQ_ATTR_IGNORE_OVERRUN
IBV_CREATE_CQ_ATTR_SINGLE_THREADED
IBV_CREATE_IND_TABLE_RESERVED
IBV_DEVICE_AUTO_PATH_MIG
IBV_DEVICE_BAD_PKEY_CNTR
IBV_DEVICE_BAD_QKEY_CNTR
IBV_DEVICE_CHANGE_PHY_PORT
IBV_DEVICE_CURR_QP_STATE_MOD
IBV_DEVICE_INIT_TYPE
IBV_DEVICE_MANAGED_FLOW_STEERING
IBV_DEVICE_MEM_MGT_EXTENSIONS
IBV_DEVICE_MEM_WINDOW
IBV_DEVICE_MEM_WINDOW_TYPE_2A
IBV_DEVICE_MEM_WINDOW_TYPE_2B
IBV_DEVICE_N_NOTIFY_CQ
IBV_DEVICE_PORT_ACTIVE_EVENT
IBV_DEVICE_RAW_IP_CSUM
IBV_DEVICE_RAW_MULTI
IBV_DEVICE_RC_IP_CSUM
IBV_DEVICE_RC_RNR_NAK_GEN
IBV_DEVICE_RESIZE_MAX_WR
IBV_DEVICE_SHUTDOWN_PORT
IBV_DEVICE_SRQ_RESIZE
IBV_DEVICE_SYS_IMAGE_GUID
IBV_DEVICE_UD_AV_PORT_ENFORCE
IBV_DEVICE_UD_IP_CSUM
IBV_DEVICE_XRC
IBV_EVENT_CLIENT_REREGISTER
IBV_EVENT_COMM_EST
IBV_EVENT_CQ_ERR
IBV_EVENT_DEVICE_FATAL
IBV_EVENT_GID_CHANGE
IBV_EVENT_LID_CHANGE
IBV_EVENT_PATH_MIG
IBV_EVENT_PATH_MIG_ERR
IBV_EVENT_PKEY_CHANGE
IBV_EVENT_PORT_ACTIVE
IBV_EVENT_PORT_ERR
IBV_EVENT_QP_ACCESS_ERR
IBV_EVENT_QP_FATAL
IBV_EVENT_QP_LAST_WQE_REACHED
IBV_EVENT_QP_REQ_ERR
IBV_EVENT_SM_CHANGE
IBV_EVENT_SQ_DRAINED
IBV_EVENT_SRQ_ERR
IBV_EVENT_SRQ_LIMIT_REACHED
IBV_EVENT_WQ_FATAL
IBV_FLOW_ACTION_ESP_MASK_ESN
IBV_FLOW_ATTR_ALL_DEFAULT
IBV_FLOW_ATTR_FLAGS_DONT_TRAP
IBV_FLOW_ATTR_FLAGS_EGRESS
IBV_FLOW_ATTR_MC_DEFAULT
IBV_FLOW_ATTR_NORMAL
IBV_FLOW_ATTR_SNIFFER
IBV_FLOW_SPEC_ACTION_COUNT
IBV_FLOW_SPEC_ACTION_DROP
IBV_FLOW_SPEC_ACTION_HANDLE
IBV_FLOW_SPEC_ACTION_TAG
IBV_FLOW_SPEC_ESP
IBV_FLOW_SPEC_ETH
IBV_FLOW_SPEC_GRE
IBV_FLOW_SPEC_INNER
IBV_FLOW_SPEC_IPV4
IBV_FLOW_SPEC_IPV4_EXT
IBV_FLOW_SPEC_IPV6
IBV_FLOW_SPEC_MPLS
IBV_FLOW_SPEC_TCP
IBV_FLOW_SPEC_UDP
IBV_FLOW_SPEC_VXLAN_TUNNEL
IBV_GID_TYPE_IB
IBV_GID_TYPE_ROCE_V1
IBV_GID_TYPE_ROCE_V2
IBV_MIG_ARMED
IBV_MIG_MIGRATED
IBV_MIG_REARM
IBV_MTU_256
IBV_MTU_512
IBV_MTU_1024
IBV_MTU_2048
IBV_MTU_4096
IBV_MW_TYPE_1
IBV_MW_TYPE_2
IBV_NODE_CA
IBV_NODE_RNIC
IBV_NODE_ROUTER
IBV_NODE_SWITCH
IBV_NODE_UNKNOWN
IBV_NODE_UNSPECIFIED
IBV_NODE_USNIC
IBV_NODE_USNIC_UDP
IBV_ODP_SUPPORT
IBV_ODP_SUPPORT_ATOMIC
IBV_ODP_SUPPORT_IMPLICIT
IBV_ODP_SUPPORT_READ
IBV_ODP_SUPPORT_RECV
IBV_ODP_SUPPORT_SEND
IBV_ODP_SUPPORT_SRQ_RECV
IBV_ODP_SUPPORT_WRITE
IBV_OPS_SIGNALED
IBV_OPS_TM_SYNC
IBV_PARENT_DOMAIN_INIT_ATTR_ALLOCATORS
IBV_PARENT_DOMAIN_INIT_ATTR_PD_CONTEXT
IBV_PCI_ATOMIC_OPERATION_4_BYTE_SIZE_SUP
IBV_PCI_ATOMIC_OPERATION_8_BYTE_SIZE_SUP
IBV_PCI_ATOMIC_OPERATION_16_BYTE_SIZE_SUP
IBV_PORT_INFO_EXT_SUP
IBV_PORT_LINK_SPEED_HDR_SUP
IBV_PORT_LINK_WIDTH_2X_SUP
IBV_PORT_SET_NODE_DESC_SUP
IBV_PORT_SWITCH_PORT_STATE_TABLE_SUP
IBV_PORT_VIRT_SUP
IBV_QP_CREATE_BLOCK_SELF_MCAST_LB
IBV_QP_CREATE_CVLAN_STRIPPING
IBV_QP_CREATE_PCI_WRITE_END_PADDING
IBV_QP_CREATE_SCATTER_FCS
IBV_QP_CREATE_SOURCE_QPN
IBV_QP_EX_WITH_ATOMIC_CMP_AND_SWP
IBV_QP_EX_WITH_ATOMIC_FETCH_AND_ADD
IBV_QP_EX_WITH_BIND_MW
IBV_QP_EX_WITH_LOCAL_INV
IBV_QP_EX_WITH_RDMA_READ
IBV_QP_EX_WITH_RDMA_WRITE
IBV_QP_EX_WITH_RDMA_WRITE_WITH_IMM
IBV_QP_EX_WITH_SEND
IBV_QP_EX_WITH_SEND_WITH_IMM
IBV_QP_EX_WITH_SEND_WITH_INV
IBV_QP_EX_WITH_TSO
IBV_QP_INIT_ATTR_CREATE_FLAGS
IBV_QP_INIT_ATTR_IND_TABLE
IBV_QP_INIT_ATTR_MAX_TSO_HEADER
IBV_QP_INIT_ATTR_PD
IBV_QP_INIT_ATTR_RX_HASH
IBV_QP_INIT_ATTR_SEND_OPS_FLAGS
IBV_QP_INIT_ATTR_XRCD
IBV_QP_OPEN_ATTR_CONTEXT
IBV_QP_OPEN_ATTR_NUM
IBV_QP_OPEN_ATTR_RESERVED
IBV_QP_OPEN_ATTR_TYPE
IBV_QP_OPEN_ATTR_XRCD
IBV_RATE_2_5_GBPS
IBV_RATE_5_GBPS
IBV_RATE_10_GBPS
IBV_RATE_14_GBPS
IBV_RATE_20_GBPS
IBV_RATE_25_GBPS
IBV_RATE_28_GBPS
IBV_RATE_30_GBPS
IBV_RATE_40_GBPS
IBV_RATE_50_GBPS
IBV_RATE_56_GBPS
IBV_RATE_60_GBPS
IBV_RATE_80_GBPS
IBV_RATE_100_GBPS
IBV_RATE_112_GBPS
IBV_RATE_120_GBPS
IBV_RATE_168_GBPS
IBV_RATE_200_GBPS
IBV_RATE_300_GBPS
IBV_RATE_400_GBPS
IBV_RATE_600_GBPS
IBV_RATE_MAX
IBV_RAW_PACKET_CAP_CVLAN_STRIPPING
IBV_RAW_PACKET_CAP_DELAY_DROP
IBV_RAW_PACKET_CAP_IP_CSUM
IBV_RAW_PACKET_CAP_SCATTER_FCS
IBV_READ_COUNTERS_ATTR_PREFER_CACHED
IBV_REREG_MR_CHANGE_ACCESS
IBV_REREG_MR_CHANGE_PD
IBV_REREG_MR_CHANGE_TRANSLATION
IBV_REREG_MR_ERR_CMD
IBV_REREG_MR_ERR_CMD_AND_DO_FORK_NEW
IBV_REREG_MR_ERR_DONT_FORK_NEW
IBV_REREG_MR_ERR_DO_FORK_OLD
IBV_REREG_MR_ERR_INPUT
IBV_REREG_MR_FLAGS_SUPPORTED
IBV_RX_HASH_DST_IPV4
IBV_RX_HASH_DST_IPV6
IBV_RX_HASH_DST_PORT_TCP
IBV_RX_HASH_DST_PORT_UDP
IBV_RX_HASH_FUNC_TOEPLITZ
IBV_RX_HASH_INNER
IBV_RX_HASH_IPSEC_SPI
IBV_RX_HASH_SRC_IPV4
IBV_RX_HASH_SRC_IPV6
IBV_RX_HASH_SRC_PORT_TCP
IBV_RX_HASH_SRC_PORT_UDP
IBV_SRQT_BASIC
IBV_SRQT_TM
IBV_SRQT_XRC
IBV_SRQ_INIT_ATTR_CQ
IBV_SRQ_INIT_ATTR_PD
IBV_SRQ_INIT_ATTR_RESERVED
IBV_SRQ_INIT_ATTR_TM
IBV_SRQ_INIT_ATTR_TYPE
IBV_SRQ_INIT_ATTR_XRCD
IBV_SRQ_LIMIT
IBV_SRQ_MAX_WR
IBV_TM_CAP_RC
IBV_TRANSPORT_IB
IBV_TRANSPORT_IWARP
IBV_TRANSPORT_UNKNOWN
IBV_TRANSPORT_UNSPECIFIED
IBV_TRANSPORT_USNIC
IBV_TRANSPORT_USNIC_UDP
IBV_VALUES_MASK_RAW_CLOCK
IBV_VALUES_MASK_RESERVED
IBV_WC_EX_WITH_BYTE_LEN
IBV_WC_EX_WITH_COMPLETION_TIMESTAMP
IBV_WC_EX_WITH_COMPLETION_TIMESTAMP_WALLCLOCK
IBV_WC_EX_WITH_CVLAN
IBV_WC_EX_WITH_DLID_PATH_BITS
IBV_WC_EX_WITH_FLOW_TAG
IBV_WC_EX_WITH_IMM
IBV_WC_EX_WITH_QP_NUM
IBV_WC_EX_WITH_SL
IBV_WC_EX_WITH_SLID
IBV_WC_EX_WITH_SRC_QP
IBV_WC_EX_WITH_TM_INFO
IBV_WQS_ERR
IBV_WQS_RDY
IBV_WQS_RESET
IBV_WQS_UNKNOWN
IBV_WQT_RQ
IBV_WQ_ATTR_CURR_STATE
IBV_WQ_ATTR_FLAGS
IBV_WQ_ATTR_RESERVED
IBV_WQ_ATTR_STATE
IBV_WQ_FLAGS_CVLAN_STRIPPING
IBV_WQ_FLAGS_DELAY_DROP
IBV_WQ_FLAGS_PCI_WRITE_END_PADDING
IBV_WQ_FLAGS_RESERVED
IBV_WQ_FLAGS_SCATTER_FCS
IBV_WQ_INIT_ATTR_FLAGS
IBV_WQ_INIT_ATTR_RESERVED
IBV_WR_TAG_ADD
IBV_WR_TAG_DEL
IBV_WR_TAG_SYNC
IBV_XRCD_INIT_ATTR_FD
IBV_XRCD_INIT_ATTR_OFLAGS
IBV_XRCD_INIT_ATTR_RESERVED
IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM
IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP
IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE

Functions

ibv_ack_async_event

ibv_ack_async_event - Acknowledge an async event @event: Event to be acknowledged.

ibv_ack_cq_events

ibv_ack_cq_events - Acknowledge CQ completion events @cq: CQ to acknowledge events for @nevents: Number of events to acknowledge.

ibv_alloc_pd

ibv_alloc_pd - Allocate a protection domain

ibv_attach_mcast

ibv_attach_mcast - Attaches the specified QP to a multicast group. @qp: QP to attach to the multicast group. The QP must be a UD QP. @gid: Multicast group GID. @lid: Multicast group LID in host byte order.

ibv_close_device

ibv_close_device - Release device

ibv_create_ah

ibv_create_ah - Create an address handle.

ibv_create_ah_from_wc

ibv_create_ah_from_wc - Creates an address handle associated with the sender of the specified work completion. @pd: The protection domain associated with the address handle. @wc: Work completion information associated with a received message. @grh: References the received global route header. This parameter is ignored unless the work completion indicates that the GRH is valid. @port_num: The outbound port number to associate with the address.

ibv_create_comp_channel

ibv_create_comp_channel - Create a completion event channel

ibv_create_cq

ibv_create_cq - Create a completion queue @context - Context CQ will be attached to @cqe - Minimum number of entries required for CQ @cq_context - Consumer-supplied context returned for completion events @channel - Completion channel where completion events will be queued. May be NULL if completion events will not be used. @comp_vector - Completion vector used to signal completion events. Must be >= 0 and < context->num_comp_vectors.

ibv_create_qp

ibv_create_qp - Create a queue pair.

ibv_create_srq

ibv_create_srq - Creates a SRQ associated with the specified protection domain. @pd: The protection domain associated with the SRQ. @srq_init_attr: A list of initial attributes required to create the SRQ.

ibv_dealloc_pd

ibv_dealloc_pd - Free a protection domain

ibv_dereg_mr

ibv_dereg_mr - Deregister a memory region

ibv_destroy_ah

ibv_destroy_ah - Destroy an address handle.

ibv_destroy_comp_channel

ibv_destroy_comp_channel - Destroy a completion event channel

ibv_destroy_cq

ibv_destroy_cq - Destroy a completion queue

ibv_destroy_qp

ibv_destroy_qp - Destroy a queue pair.

ibv_destroy_srq

ibv_destroy_srq - Destroys the specified SRQ. @srq: The SRQ to destroy.

ibv_detach_mcast

ibv_detach_mcast - Detaches the specified QP from a multicast group. @qp: QP to detach from the multicast group. @gid: Multicast group GID. @lid: Multicast group LID in host byte order.

ibv_event_type_str

ibv_event_type_str - Return string describing event_type enum value

ibv_fork_init

ibv_fork_init - Prepare data structures so that fork() may be used safely. If this function is not called or returns a non-zero status, then libibverbs data structures are not fork()-safe and the effect of an application calling fork() is undefined.

ibv_free_device_list

ibv_free_device_list - Free list from ibv_get_device_list()

ibv_get_async_event

ibv_get_async_event - Get next async event @event: Pointer to use to return async event

ibv_get_cq_event

ibv_get_cq_event - Read next CQ event @channel: Channel to get next event from. @cq: Used to return pointer to CQ. @cq_context: Used to return consumer-supplied CQ context.

ibv_get_device_guid

ibv_get_device_guid - Return device’s node GUID

ibv_get_device_index

ibv_get_device_index - Return kernel device index

ibv_get_device_list

ibv_get_device_list - Get list of IB devices currently available @num_devices: optional. if non-NULL, set to the number of devices returned in the array.

ibv_get_device_name

ibv_get_device_name - Return kernel device name

ibv_get_pkey_index

ibv_get_pkey_index - Translate a P_Key into a P_Key index

ibv_import_device

ibv_import_device - Import device

ibv_import_mr

ibv_import_mr - Import a memory region

ibv_import_pd

ibv_import_pd - Import a protetion domain

ibv_init_ah_from_wc

ibv_init_ah_from_wc - Initializes address handle attributes from a work completion. @context: Device context on which the received message arrived. @port_num: Port on which the received message arrived. @wc: Work completion associated with the received message. @grh: References the received global route header. This parameter is ignored unless the work completion indicates that the GRH is valid. @ah_attr: Returned attributes that can be used when creating an address handle for replying to the message.

ibv_modify_qp

ibv_modify_qp - Modify a queue pair.

ibv_modify_srq

ibv_modify_srq - Modifies the attributes for the specified SRQ. @srq: The SRQ to modify. @srq_attr: On input, specifies the SRQ attributes to modify. On output, the current values of selected SRQ attributes are returned. @srq_attr_mask: A bit-mask used to specify which attributes of the SRQ are being modified.

ibv_node_type_str

ibv_node_type_str - Return string describing node_type enum value

ibv_open_device

ibv_open_device - Initialize device for use

ibv_port_state_str

ibv_port_state_str - Return string describing port_state enum value

ibv_qp_to_qp_ex
ibv_query_device

ibv_query_device - Get device properties

ibv_query_ece

ibv_query_ece - Get accepted ECE options

ibv_query_gid

ibv_query_gid - Get a GID table entry

ibv_query_pkey

ibv_query_pkey - Get a P_Key table entry

ibv_query_port

ibv_query_port - Get port properties

ibv_query_qp

ibv_query_qp - Returns the attribute list and current values for the specified QP. @qp: The QP to query. @attr: The attributes of the specified QP. @attr_mask: A bit-mask used to select specific attributes to query. @init_attr: Additional attributes of the selected QP.

ibv_query_srq

ibv_query_srq - Returns the attribute list and current values for the specified SRQ. @srq: The SRQ to query. @srq_attr: The attributes of the specified SRQ.

ibv_rate_to_mbps

ibv_rate_to_mbps - Convert the IB rate enum to Mbit/sec. For example, IBV_RATE_5_GBPS will return the value 5000. @rate: rate to convert.

ibv_rate_to_mult

ibv_rate_to_mult - Convert the IB rate enum to a multiple of the base rate of 2.5 Gbit/sec. For example, IBV_RATE_5_GBPS will be converted to 2, since 5 Gbit/sec is 2 * 2.5 Gbit/sec. @rate: rate to convert.

ibv_reg_dmabuf_mr

ibv_reg_dmabuf_mr - Register a dambuf-based memory region

ibv_reg_mr

ibv_reg_mr - Register a memory region

ibv_reg_mr_iova

ibv_reg_mr_iova - Register a memory region with a virtual offset address

ibv_reg_mr_iova2

ibv_reg_mr_iova2 - Register memory region with a virtual offset address

ibv_rereg_mr

ibv_rereg_mr - Re-Register a memory region

ibv_resize_cq

ibv_resize_cq - Modifies the capacity of the CQ. @cq: The CQ to resize. @cqe: The minimum size of the CQ.

ibv_resolve_eth_l2_from_gid
ibv_set_ece

ibv_set_ece - Set ECE options

ibv_unimport_mr

ibv_unimport_mr - Unimport a memory region

ibv_unimport_pd

ibv_unimport_pd - Unimport a protetion domain

ibv_wc_status_str

Type Definitions

__be16
__be32
__be64
__pthread_list_t
__syscall_slong_t
__time_t
__u16
__u32
__u64
__uint8_t
__uint16_t
__uint32_t
__uint64_t
ib_uverbs_flow_action_esp_keymat
ib_uverbs_flow_action_esp_replay
ibv_atomic_cap
ibv_counter_description
ibv_cq_attr_mask
ibv_cq_init_attr_mask
ibv_create_cq_attr_flags
ibv_create_cq_wc_flags
ibv_device_cap_flags
ibv_event_type
ibv_flow_action_esp_mask
ibv_flow_attr_type
ibv_flow_flags
ibv_flow_spec_type
ibv_gid_type
ibv_ind_table_init_attr_mask
ibv_mig_state
ibv_mtu
ibv_mw_type
ibv_node_type
ibv_odp_general_caps
ibv_odp_transport_cap_bits
ibv_ops_flags
ibv_ops_wr_opcode
ibv_parent_domain_init_attr_mask
ibv_pci_atomic_op_size
ibv_port_cap_flags2
ibv_qp_create_flags
ibv_qp_create_send_ops_flags
ibv_qp_init_attr_mask
ibv_qp_open_attr_mask
ibv_rate
ibv_raw_packet_caps
ibv_read_counters_flags
ibv_rereg_mr_err_code
ibv_rereg_mr_flags
ibv_rx_hash_fields
ibv_rx_hash_function_flags
ibv_srq_attr_mask
ibv_srq_init_attr_mask
ibv_srq_type
ibv_tm_cap_flags
ibv_transport_type
ibv_values_mask
ibv_wq_attr_mask
ibv_wq_flags
ibv_wq_init_attr_mask
ibv_wq_state
ibv_wq_type
ibv_xrcd_init_attr_mask

Unions

__pthread_cond_s__bindgen_ty_1
__pthread_cond_s__bindgen_ty_2
ib_uverbs_flow_action_esp_encap__bindgen_ty_1
ib_uverbs_flow_action_esp_encap__bindgen_ty_2
ibv_async_event__bindgen_ty_1
ibv_flow_spec__bindgen_ty_1
ibv_gid
ibv_send_wr__bindgen_ty_1
ibv_send_wr__bindgen_ty_2
ibv_send_wr__bindgen_ty_3
ibv_send_wr__bindgen_ty_4
ibv_wc__bindgen_ty_1
pthread_cond_t
pthread_mutex_t