macro_rules! syscall {
    ($pre_future_result:expr) => { ... };
}