svls 0.1.8

SystemVerilog language server
svls-0.1.8 is not a library.

svls

SystemVerilog language server

Actions Status Snap Status

Crates.io svlint

test

Feature

Installation

Download binary

Download from release page, and extract to the directory in PATH.

snapcraft

You can install from snapcraft

sudo snap install svls

Cargo

You can install by cargo.

cargo install svls

Configuration

Language server

svls uses .svls.toml at the root of repository. The example of .svls.toml is below:

[verilog]
include_paths = ["src/header"]

[option]
linter = true

[verilog] section

include_paths is include paths from the root of repository.

[option] section

linter shows whether linter feature is enabled.

Linter

Linter uses .svlint.toml at the root of repository. If .svlint.toml can't be used, all lint rules are enabled. Please see svlint#configuration for the detailed information.

Usage

Visual Studio Code

Please install svls-vscode extension from marketplace.

Vim/Neovim with LanguageClient-neovim

let g:LanguageClient_serverCommands = {
    \ 'systemverilog': ['svls'],
    \ }

Vim/Neovim with vim-lsp

if executable('svls')
    au User lsp_setup call lsp#register_server({
        \ 'name': 'svls',
        \ 'cmd': {server_info->['svls']},
        \ 'whitelist': ['systemverilog'],
        \ })
endif