[][src]Crate sv_parser

Modules

any_node
behavioral_statements
declarations
expressions
general
instantiations
preprocessor
primitive_instances
source_text
special_node
specify_section
udp_declaration_and_instantiation

Macros

unwrap_locate
unwrap_node

Structs

ActionBlockElse
ActualArgument
AlwaysConstruct
AngleBracketLiteral
AnonymousProgram
AnsiPortDeclarationNet
AnsiPortDeclarationParen
AnsiPortDeclarationVariable
ApostropheBrace
ArrayIdentifier
ArrayManipulationCall
ArrayRangeExpressionColon
ArrayRangeExpressionMinusColon
ArrayRangeExpressionPlusColon
AssertPropertyStatement
AssertionVariableDeclaration
AssignmentOperator
AssignmentPatternArray
AssignmentPatternExpression
AssignmentPatternList
AssignmentPatternNetLvalue
AssignmentPatternRepeat
AssignmentPatternStructure
AssignmentPatternVariableLvalue
AssociativeDimensionAsterisk
AssociativeDimensionDataType
AssumePropertyStatement
AttrSpec
AttributeInstance
BinIdentifier
BinaryBase
BinaryModulePathOperator
BinaryNumber
BinaryOperator
BinaryValue
BindDirectiveInstance
BindDirectiveScope
BindTargetInstance
BindTargetInstanceList
BinsExpressionCoverPoint
BinsOrEmptyNonEmpty
BinsOrOptionsCoverPoint
BinsOrOptionsCovergroup
BinsOrOptionsDefault
BinsOrOptionsDefaultSequence
BinsOrOptionsSetCovergroup
BinsOrOptionsTransList
BinsSelection
BinsSelectionOrOptionBins
BinsSelectionOrOptionCoverage
BitSelect
BlockEventExpressionBegin
BlockEventExpressionEnd
BlockEventExpressionOr
BlockIdentifier
BlockItemDeclarationData
BlockItemDeclarationLet
BlockItemDeclarationLocalParameter
BlockItemDeclarationParameter
BlockingAssignmentHierarchicalVariable
BlockingAssignmentNonrangeVariable
BlockingAssignmentVariable
Brace
Bracket
CIdentifier
CaseExpression
CaseGenerateConstruct
CaseGenerateItemDefault
CaseGenerateItemNondefault
CaseInsideItemNondefault
CaseItemDefault
CaseItemExpression
CaseItemNondefault
CasePatternItemNondefault
CaseStatementInside
CaseStatementMatches
CaseStatementNormal
Cast
CellClause
CellIdentifier
CelldefineDriveCompilerDirective
ChargeStrengthLarge
ChargeStrengthMedium
ChargeStrengthSmall
CheckerDeclaration
CheckerIdentifier
CheckerInstantiation
CheckerOrGenerateItemDeclarationClocking
CheckerOrGenerateItemDeclarationData
CheckerOrGenerateItemDeclarationDisable
CheckerPortItem
CheckerPortList
ClassConstructorDeclaration
ClassConstructorPrototype
ClassDeclaration
ClassIdentifier
ClassItemConstraint
ClassItemCovergroup
ClassItemDeclaration
ClassItemMethod
ClassItemProperty
ClassMethodConstructor
ClassMethodExternConstructor
ClassMethodExternMethod
ClassMethodFunction
ClassMethodPureVirtual
ClassMethodTask
ClassNewArgument
ClassNewExpression
ClassPropertyConst
ClassPropertyNonConst
ClassQualifier
ClassScope
ClassType
ClassVariableIdentifier
ClockingDeclAssign
ClockingDeclarationGlobal
ClockingDeclarationLocal
ClockingDirectionInput
ClockingDirectionInputOutput
ClockingDirectionOutput
ClockingDrive
ClockingEventExpression
ClockingEventIdentifier
ClockingIdentifier
ClockingItemAssertion
ClockingItemDefault
ClockingItemDirection
ClockingSkewEdge
Clockvar
ClockvarExpression
CmosSwitchInstance
CmosSwitchtype
CombinationalBody
CombinationalEntry
Comment
Concatenation
ConcurrentAssertionItemStatement
CondPattern
CondPredicate
ConditionalExpression
ConditionalStatement
Config
ConfigDeclaration
ConfigIdentifier
ConfigRuleStatementCellLib
ConfigRuleStatementCellUse
ConfigRuleStatementDefault
ConfigRuleStatementInstLib
ConfigRuleStatementInstUse
ConsecutiveRepetitionAsterisk
ConsecutiveRepetitionExpression
ConsecutiveRepetitionPlus
Const
ConstIdentifier
ConstantAssignmentPatternExpression
ConstantBitSelect
ConstantCast
ConstantConcatenation
ConstantExpressionBinary
ConstantExpressionTernary
ConstantExpressionUnary
ConstantFunctionCall
ConstantIndexedRange
ConstantLetExpression
ConstantMintypmaxExpressionTernary
ConstantMultipleConcatenation
ConstantPrimaryConcatenation
ConstantPrimaryEnum
ConstantPrimaryFormalPort
ConstantPrimaryMintypmaxExpression
ConstantPrimaryMultipleConcatenation
ConstantPrimaryPsParameter
ConstantPrimarySpecparam
ConstantRange
ConstantSelect
ConstraintBlock
ConstraintBlockItemSolve
ConstraintDeclaration
ConstraintExpressionArrow
ConstraintExpressionDisable
ConstraintExpressionExpression
ConstraintExpressionForeach
ConstraintExpressionIf
ConstraintIdentifier
ConstraintPrimary
ConstraintPrototype
ConstraintSetBrace
ContinuousAssignNet
ContinuousAssignVariable
ControlledReferenceEvent
ControlledTimingCheckEvent
CoverCross
CoverPoint
CoverPointIdentifier
CoverPropertyStatement
CoverSequenceStatement
CoverageEventAt
CoverageEventSample
CoverageOptionOption
CoverageOptionTypeOption
CoverageSpecOrOptionOption
CoverageSpecOrOptionSpec
CovergroupDeclaration
CovergroupExpression
CovergroupIdentifier
CovergroupRangeList
CovergroupValueRangeBinary
CovergroupVariableIdentifier
CrossBodyNonEmpty
CrossIdentifier
CrossSetExpression
CurrentState
CycleDelayConstRangeExpressionBinary
CycleDelayConstRangeExpressionDollar
CycleDelayExpression
CycleDelayIdentifier
CycleDelayIntegral
CycleDelayRangeAsterisk
CycleDelayRangeExpression
CycleDelayRangePlus
CycleDelayRangePrimary
DataDeclarationVariable
DataEvent
DataSourceExpression
DataTypeAtom
DataTypeEnum
DataTypeStructUnion
DataTypeType
DataTypeVector
DataTypeVirtual
DecimalBase
DecimalNumberBaseUnsigned
DecimalNumberBaseXNumber
DecimalNumberBaseZNumber
Default
DefaultClause
DefaultNettypeCompilerDirective
DefaultNettypeValue
DefaultSkewInput
DefaultSkewInputOutput
DefaultSkewOutput
DefaultText
DeferredImmediateAssertStatement
DeferredImmediateAssetionItem
DeferredImmediateAssumeStatement
DeferredImmediateCoverStatement
Define
DefineText
DefparamAssignment
Delay2Mintypmax
Delay2Single
Delay3Mintypmax
Delay3Single
DelayControlDelay
DelayControlMintypmax
DelayOrEventControlRepeat
DelayedDataWithMintypmax
DelayedReferenceWithMintypmax
DescriptionBindDirective
DescriptionPackageItem
DesignStatement
DisableStatementBlock
DisableStatementFork
DisableStatementTask
DistItem
DistList
DistWeightDivide
DistWeightEqual
DpiFunctionProto
DpiImportExportExportFunction
DpiImportExportExportTask
DpiImportExportImportFunction
DpiImportExportImportTask
DpiTaskProto
DriveStrength0z
DriveStrength01
DriveStrength1z
DriveStrength10
DriveStrengthz0
DriveStrengthz1
DynamicArrayNew
DynamicArrayVariableIdentifier
EdgeControlSpecifier
EdgeDescriptor
EdgeIndicatorParen
EdgeInputList
EdgeSensitivePathDeclarationFull
EdgeSensitivePathDeclarationParallel
EdgeSymbol
ElaborationSystemTaskError
ElaborationSystemTaskFatal
ElaborationSystemTaskInfo
ElaborationSystemTaskWarning
ElseGroupOfLines
ElsifGroupOfLines
EmptyUnpackedArrayConcatenation
EnableGateInstance
EnableGatetype
EnableTerminal
EndEdgeOffset
EndcelldefineDriveCompilerDirective
EndkeywordsDirective
EnumBaseTypeAtom
EnumBaseTypeType
EnumBaseTypeVector
EnumIdentifier
EnumNameDeclaration
ErrorLimitValue
EscapedIdentifier
EventBasedFlag
EventControlAsterisk
EventControlEventExpression
EventControlEventIdentifier
EventControlParenAsterisk
EventControlSequenceIdentifier
EventExpressionComma
EventExpressionExpression
EventExpressionOr
EventExpressionParen
EventExpressionSequence
EventIter
EventTriggerNamed
EventTriggerNonblocking
Exp
ExpectPropertyStatement
ExpressionBinary
ExpressionOperatorAssignment
ExpressionOrDist
ExpressionUnary
ExternConstraintDeclaration
ExternTfDeclarationMethod
ExternTfDeclarationTask
FilePathSpecNonLiteral
FinalConstruct
FixedPointNumber
ForInitializationDeclaration
ForStep
ForVariableDeclaration
FormalArgument
FormalIdentifier
FormalPortIdentifier
FullEdgeSensitivePathDescription
FullPathDescription
FullskewTimingCheck
FunctionBodyDeclarationWithPort
FunctionBodyDeclarationWithoutPort
FunctionDeclaration
FunctionIdentifier
FunctionPrototype
FunctionStatement
FunctionStatementOrNullAttribute
FunctionSubroutineCall
GateInstantiationCmos
GateInstantiationEnable
GateInstantiationMos
GateInstantiationNInput
GateInstantiationNOutput
GateInstantiationPass
GateInstantiationPassEn
GateInstantiationPulldown
GateInstantiationPullup
GenerateBlockIdentifier
GenerateBlockMultiple
GenerateRegion
Genvar
GenvarDeclaration
GenvarExpression
GenvarIdentifier
GenvarInitialization
GenvarIterationAssignment
GenvarIterationPrefix
GenvarIterationSuffix
GotoRepetition
HexBase
HexNumber
HexValue
HierarchicalArrayIdentifier
HierarchicalBlockIdentifier
HierarchicalBtfIdentifierMethod
HierarchicalEventIdentifier
HierarchicalIdentifier
HierarchicalInstance
HierarchicalNetIdentifier
HierarchicalParameterIdentifier
HierarchicalPropertyIdentifier
HierarchicalSequenceIdentifier
HierarchicalTaskIdentifier
HierarchicalTfIdentifier
HierarchicalVariableIdentifier
HoldTimingCheck
IdentifierList
IfGenerateConstruct
IfdefDirective
IfdefGroupOfLines
IfndefDirective
IfndefGroupOfLines
ImplicitDataType
IncOrDecExpressionPrefix
IncOrDecExpressionSuffix
IncOrDecOperator
IncludeCompilerDirectiveAngleBracket
IncludeCompilerDirectiveDoubleQuote
IncludeCompilerDirectiveTextMacroUsage
IncludeStatement
IndexVariableIdentifier
IndexedRange
InitVal
InitialConstruct
InoutDeclaration
InoutPortIdentifier
InoutTerminal
InputDeclarationNet
InputDeclarationVariable
InputIdentifierInterface
InputPortIdentifier
InputTerminal
InsideExpression
InstClause
InstName
InstanceIdentifier
IntegerCovergroupExpression
Interface
InterfaceAnsiHeader
InterfaceClassDeclaration
InterfaceClassItemMethod
InterfaceClassMethod
InterfaceClassType
InterfaceDeclarationAnsi
InterfaceDeclarationExternAnsi
InterfaceDeclarationExternNonansi
InterfaceDeclarationNonansi
InterfaceDeclarationWildcard
InterfaceIdentifier
InterfaceInstanceIdentifier
InterfaceInstantiation
InterfaceNonansiHeader
InterfaceOrGenerateItemExtern
InterfaceOrGenerateItemModule
InterfacePortDeclaration
InterfacePortHeaderIdentifier
InterfacePortHeaderInterface
Iter
JumpStatementBreak
JumpStatementContinue
JumpStatementReturn
Keyword
KeywordsDirective
LetActualArg
LetDeclaration
LetExpression
LetIdentifier
LetListOfArgumentsNamed
LetListOfArgumentsOrdered
LetPortItem
LetPortList
Level
LevelInputList
LevelSymbol
LiblistClause
LibraryDeclaration
LibraryIdentifier
LibraryText
LimitValue
LineCompilerDirective
List
ListOfActualArguments
ListOfArgumentsNamed
ListOfArgumentsOrdered
ListOfCheckerPortConnectionsNamed
ListOfCheckerPortConnectionsOrdered
ListOfClockingDeclAssign
ListOfCrossItems
ListOfDefparamAssignments
ListOfFormalArguments
ListOfGenvarIdentifiers
ListOfInterfaceIdentifiers
ListOfNetAssignments
ListOfNetDeclAssignments
ListOfParamAssignments
ListOfParameterAssignmentsNamed
ListOfParameterAssignmentsOrdered
ListOfPathDelayExpressions
ListOfPathInputs
ListOfPathOutputs
ListOfPortConnectionsNamed
ListOfPortConnectionsOrdered
ListOfPortDeclarations
ListOfPortIdentifiers
ListOfPorts
ListOfSpecparamAssignments
ListOfTfVariableIdentifiers
ListOfTypeAssignments
ListOfUdpPortIdentifiers
ListOfVariableAssignments
ListOfVariableDeclAssignments
ListOfVariableIdentifiers
ListOfVariablePortIdentifiers
Local
LocalParameterDeclarationParam
LocalParameterDeclarationType
Locate
LoopGenerateConstruct
LoopStatementDoWhile
LoopStatementFor
LoopStatementForeach
LoopStatementForever
LoopStatementRepeat
LoopStatementWhile
LoopVariables
MacroText
MemberIdentifier
MethodCall
MethodCallBodyUser
MethodIdentifier
MintypmaxExpressionTernary
ModportClockingDeclaration
ModportDeclaration
ModportIdentifier
ModportItem
ModportPortsDeclarationClocking
ModportPortsDeclarationSimple
ModportPortsDeclarationTf
ModportSimplePortNamed
ModportSimplePortOrdered
ModportSimplePortsDeclaration
ModportTfPortsDeclaration
ModuleAnsiHeader
ModuleDeclarationAnsi
ModuleDeclarationExternAnsi
ModuleDeclarationExternNonansi
ModuleDeclarationNonansi
ModuleDeclarationWildcard
ModuleIdentifier
ModuleInstantiation
ModuleNonansiHeader
ModuleOrGenerateItemDeclarationClocking
ModuleOrGenerateItemDeclarationDisable
ModuleOrGenerateItemGate
ModuleOrGenerateItemModule
ModuleOrGenerateItemModuleItem
ModuleOrGenerateItemParameter
ModuleOrGenerateItemUdp
ModulePathConcatenation
ModulePathConditionalExpression
ModulePathExpressionBinary
ModulePathExpressionUnary
ModulePathMintypmaxExpressionTernary
ModulePathMultipleConcatenation
ModulePathPrimaryMintypmax
MosSwitchInstance
MosSwitchtype
MultipleConcatenation
NInputGateInstance
NInputGatetype
NOutputGateInstance
NOutputGatetype
NameOfInstance
NamedCheckerPortConnectionAsterisk
NamedCheckerPortConnectionIdentifier
NamedParameterAssignment
NamedPortConnectionAsterisk
NamedPortConnectionIdentifier
NcontrolTerminal
NetAlias
NetAssignment
NetDeclAssignment
NetDeclarationInterconnect
NetDeclarationNetType
NetDeclarationNetTypeIdentifier
NetIdentifier
NetLvalueIdentifier
NetLvalueLvalue
NetLvaluePattern
NetPortHeader
NetPortTypeDataType
NetPortTypeInterconnect
NetTypeDeclarationDataType
NetTypeDeclarationNetType
NetTypeIdentifier
New
NochangeTimingCheck
NodeEvents
NonConsecutiveRepetition
NonPortModuleItemSpecparam
NonPortProgramItemAssertion
NonPortProgramItemAssign
NonPortProgramItemFinal
NonPortProgramItemInitial
NonPortProgramItemModule
NonZeroUnsignedNumber
NonblockingAssignment
NonrangeSelect
NonrangeVariableLvalue
Notifier
NounconnectedDriveCompilerDirective
OctalBase
OctalNumber
OctalValue
OpenRangeList
OpenValueRange
OperatorAssignment
OrderedCheckerPortConnection
OrderedParameterAssignment
OrderedPortConnection
OutputDeclarationNet
OutputDeclarationVariable
OutputIdentifierInterface
OutputPortIdentifier
OutputSymbol
OutputTerminal
PackageDeclaration
PackageExportDeclarationAsterisk
PackageExportDeclarationItem
PackageIdentifier
PackageImportDeclaration
PackageImportItemAsterisk
PackageImportItemIdentifier
PackageScopePackage
Packed
PackedDimensionRange
ParBlock
ParallelEdgeSensitivePathDescription
ParallelPathDescription
ParamAssignment
ParameterDeclarationParam
ParameterDeclarationType
ParameterIdentifier
ParameterOverride
ParameterPortDeclarationParamList
ParameterPortDeclarationTypeList
ParameterPortListAssignment
ParameterPortListDeclaration
ParameterValueAssignment
Paren
PassEnSwitchtype
PassEnableSwitchInstance
PassSwitchInstance
PassSwitchtype
PathDelayExpression
PathDelayValueParen
PatternIdentifierList
PatternList
PatternTagged
PatternVariable
PcontrolTerminal
PeriodTimingCheck
PolarityOperator
PortDeclarationInout
PortDeclarationInput
PortDeclarationInterface
PortDeclarationOutput
PortDeclarationRef
PortExpressionBrace
PortIdentifier
PortNamed
PortNonNamed
PortReference
PositionCompilerDirective
Pragma
PragmaExpressionAssignment
PragmaKeyword
PragmaName
PragmaValueParen
PreprocessedText
PreprocessorText
PrimaryConcatenation
PrimaryHierarchical
PrimaryMintypmaxExpression
PrimaryMultipleConcatenation
ProceduralContinuousAssignmentAssign
ProceduralContinuousAssignmentDeassign
ProceduralContinuousAssignmentForceNet
ProceduralContinuousAssignmentForceVariable
ProceduralContinuousAssignmentReleaseNet
ProceduralContinuousAssignmentReleaseVariable
ProceduralTimingControlStatement
Production
ProductionIdentifier
ProductionItem
ProgramAnsiHeader
ProgramDeclarationAnsi
ProgramDeclarationExternAnsi
ProgramDeclarationExternNonansi
ProgramDeclarationNonansi
ProgramDeclarationWildcard
ProgramIdentifier
ProgramInstantiation
ProgramNonansiHeader
PropertyCaseItemDefault
PropertyCaseItemNondefault
PropertyDeclaration
PropertyExprAcceptOn
PropertyExprAlways
PropertyExprBinaryProperty
PropertyExprBinarySequence
PropertyExprCase
PropertyExprClockingEvent
PropertyExprEventually
PropertyExprIf
PropertyExprNexttime
PropertyExprNot
PropertyExprParen
PropertyExprRejectOn
PropertyExprSAlways
PropertyExprSEventually
PropertyExprSNexttime
PropertyExprStrong
PropertyExprSyncAcceptOn
PropertyExprSyncRejectOn
PropertyExprWeak
PropertyIdentifier
PropertyInstance
PropertyListOfArgumentsNamed
PropertyListOfArgumentsOrdered
PropertyPortItem
PropertyPortList
PropertySpec
PsCheckerIdentifier
PsClassIdentifier
PsCovergroupIdentifier
PsIdentifier
PsOrHierarchicalArrayIdentifier
PsOrHierarchicalNetIdentifierHierarchical
PsOrHierarchicalNetIdentifierPackageScope
PsOrHierarchicalPropertyIdentifierHierarchical
PsOrHierarchicalPropertyIdentifierPackageScope
PsOrHierarchicalSequenceIdentifierHierarchical
PsOrHierarchicalSequenceIdentifierPackageScope
PsOrHierarchicalTfIdentifierHierarchical
PsOrHierarchicalTfIdentifierPackageScope
PsParameterIdentifierGenerate
PsParameterIdentifierScope
PsTypeIdentifier
PullGateInstance
PulldownStrength0
PulldownStrength01
PulldownStrength10
PullupStrength01
PullupStrength1
PullupStrength10
PulseControlSpecparamWithDescriptor
PulseControlSpecparamWithoutDescriptor
PulsestyleDeclaration
QueueDimension
Rand
RandcaseItem
RandcaseStatement
RandomizeCall
RandsequenceStatement
RealNumberFloating
RecoveryTimingCheck
RecremTimingCheck
RefDeclaration
RefNodes
ReferenceEvent
RejectLimitValue
RemainActiveFlag
RemovalTimingCheck
RepeatRangeBinary
ResetallCompilerDirective
RestrictPropertyStatement
Root
RsCase
RsCaseItemDefault
RsCaseItemNondefault
RsCodeBlock
RsIfElse
RsProductionListJoin
RsProductionListProd
RsRepeat
RsRule
ScalarConstant
ScalarTimingCheckConditionBinary
ScalarTimingCheckConditionUnary
Select
SelectCondition
SelectExpressionAnd
SelectExpressionCrossSet
SelectExpressionNot
SelectExpressionOr
SelectExpressionParen
SelectExpressionWith
SeqBlock
SequenceAbbrev
SequenceDeclaration
SequenceExprBinary
SequenceExprClockingEvent
SequenceExprCycleDelayExpr
SequenceExprExprCycleDelayExpr
SequenceExprExpression
SequenceExprFirstMatch
SequenceExprInstance
SequenceExprParen
SequenceExprThroughout
SequenceIdentifier
SequenceInstance
SequenceListOfArgumentsNamed
SequenceListOfArgumentsOrdered
SequenceMethodCall
SequencePortItem
SequencePortList
SequentialBody
SequentialEntry
SetCovergroupExpression
SetupTimingCheck
SetupholdTimingCheck
ShowcancelledDeclaration
SignalIdentifier
SimpleIdentifier
SimpleImmediateAssertStatement
SimpleImmediateAssumeStatement
SimpleImmediateCoverStatement
SimplePathDeclarationFull
SimplePathDeclarationParallel
Size
SkewTimingCheck
Soft
SolveBeforeList
SourceDescriptionNotDirective
SourceText
SpecifyBlock
SpecifyInputTerminalDescriptor
SpecifyOutputTerminalDescriptor
SpecparamAssignmentMintypmax
SpecparamDeclaration
SpecparamIdentifier
StartEdgeOffset
StateDependentPathDeclarationIfEdgeSensitive
StateDependentPathDeclarationIfNone
StateDependentPathDeclarationIfSimple
Statement
StatementOrNullAttribute
Static
StreamConcatenation
StreamExpression
StreamOperator
StreamingConcatenation
StringLiteral
StructUnionMember
SubroutineCallRandomize
SubroutineCallStatementFunction
Symbol
SyntaxTree
SystemTfCallArgDataType
SystemTfCallArgExpression
SystemTfCallArgOptional
SystemTfIdentifier
TPathDelayExpression
TaggedUnionExpression
TaskBodyDeclarationWithPort
TaskBodyDeclarationWithoutPort
TaskDeclaration
TaskIdentifier
TaskPrototype
TerminalIdentifier
TextMacroDefinition
TextMacroIdentifier
TextMacroName
TextMacroUsage
TfCall
TfIdentifier
TfPortDeclaration
TfPortItem
TfPortList
Threshold
TimeLiteralFixedPoint
TimeLiteralUnsigned
TimecheckCondition
TimescaleCompilerDirective
TimeskewTimingCheck
TimestampCondition
TimeunitsDeclarationTimeprecision
TimeunitsDeclarationTimeprecisionTimeunit
TimeunitsDeclarationTimeunit
TimeunitsDeclarationTimeunitTimeprecision
TimingCheckConditionParen
TimingCheckEvent
TimingCheckLimit
TopmoduleIdentifier
TransItem
TransList
TransRangeListArrow
TransRangeListAsterisk
TransRangeListEqual
TransSet
TypeAssignment
TypeDeclarationDataType
TypeDeclarationInterface
TypeDeclarationReserved
TypeIdentifier
TypeReferenceDataType
TypeReferenceExpression
UdpAnsiDeclaration
UdpDeclarationAnsi
UdpDeclarationExternAnsi
UdpDeclarationExternNonansi
UdpDeclarationNonansi
UdpDeclarationPortList
UdpDeclarationWildcard
UdpIdentifier
UdpInitialStatement
UdpInputDeclaration
UdpInstance
UdpInstantiation
UdpNonansiDeclaration
UdpOutputDeclarationNonreg
UdpOutputDeclarationReg
UdpPortList
UdpRegDeclaration
UnaryModulePathOperator
UnaryOperator
UnbasedUnsizedLiteral
UnconnectedDriveCompilerDirective
UndefineCompilerDirective
UndefineallCompilerDirective
UniquenessConstraint
Unit
UnpackedDimensionExpression
UnpackedDimensionRange
UnsignedNumber
UnsizedDimension
UseClauseCell
UseClauseCellNamed
UseClauseNamed
ValueRangeBinary
Var
VarDataTypeVar
VariableAssignment
VariableDeclAssignmentClass
VariableDeclAssignmentDynamicArray
VariableDeclAssignmentVariable
VariableIdentifier
VariableIdentifierList
VariableLvalueIdentifier
VariableLvalueLvalue
VariableLvaluePattern
VariablePortHeader
VariablePortType
VersionSpecifier
Virtual
WaitStatementFork
WaitStatementOrder
WaitStatementWait
WeightSpecificationExpression
WidthTimingCheck
Wildcard
WithCovergroupExpression
XNumber
ZNumber

Enums

ActionBlock
AlwaysKeyword
AnonymousProgramItem
AnsiPortDeclaration
AnyNode
ArrayMethodName
ArrayPatternKey
ArrayRangeExpression
AssertTiming
AssertionItem
AssertionItemDeclaration
AssignmentPattern
AssignmentPatternExpressionType
AssignmentPatternKey
AssociativeDimension
BindDirective
BindInstantiation
BindTargetScope
BinsExpression
BinsKeyword
BinsOrEmpty
BinsOrOptions
BinsSelectionOrOption
BlockEventExpression
BlockItemDeclaration
BlockingAssignment
BooleanAbbrev
BuiltInMethodCall
CaseGenerateItem
CaseInsideItem
CaseItem
CaseKeyword
CasePatternItem
CaseStatement
CastingType
ChargeStrength
CheckerGenerateItem
CheckerOrGenerateItem
CheckerOrGenerateItemDeclaration
CheckerPortDirection
ClassConstraint
ClassItem
ClassItemQualifier
ClassMethod
ClassNew
ClassProperty
ClassPropertyConstExpression
ClassQualifierOrPackageScope
ClockingDeclaration
ClockingDirection
ClockingEvent
ClockingItem
ClockingSkew
CompilerDirective
ConcurrentAssertionItem
ConcurrentAssertionStatement
ConditionalCompilerDirective
ConditionalGenerateConstruct
ConfigRuleStatement
ConsecutiveRepetition
ConstOrRangeExpression
ConstantExpression
ConstantMintypmaxExpression
ConstantParamExpression
ConstantPartSelectRange
ConstantPrimary
ConstantRangeExpression
ConstraintBlockItem
ConstraintExpression
ConstraintPrototypeQualifier
ConstraintSet
ContinuousAssign
CoverageEvent
CoverageOption
CoverageSpec
CoverageSpecOrOption
CovergroupValueRange
CrossBody
CrossBodyItem
CrossItem
CycleDelay
CycleDelayConstRangeExpression
CycleDelayRange
DataDeclaration
DataType
DataTypeOrImplicit
DataTypeOrVoid
DecimalNumber
DefaultSkew
DeferredImmediateAssertionStatement
Delay2
Delay3
DelayControl
DelayOrEventControl
DelayValue
DelayedData
DelayedReference
Description
DisableStatement
DistWeight
DpiFunctionImportProperty
DpiImportExport
DpiSpecString
DpiTaskImportProperty
DriveStrength
EdgeIdentifier
EdgeIndicator
EdgeSensitivePathDeclaration
ElaborationSystemTask
EnumBaseType
Error
EventControl
EventExpression
EventTrigger
Expression
ExpressionOrCondPattern
ExternTfDeclaration
FilePathSpec
FinishNumber
ForInitialization
ForStepAssignment
FunctionBodyDeclaration
FunctionDataTypeOrImplicit
FunctionStatementOrNull
GateInstantiation
GenerateBlock
GenerateItem
GenvarIteration
HierarchicalBtfIdentifier
HierarchicalIdentifierOrClassScope
Identifier
ImmediateAssetionStatement
ImplicitClassHandle
ImplicitClassHandleOrClassScope
ImplicitClassHandleOrClassScopeOrPackageScope
ImplicitClassHandleOrPackageScope
ImportExport
IncOrDecExpression
IncludeCompilerDirective
InputDeclaration
InputIdentifier
IntegerAtomType
IntegerType
IntegerVectorType
IntegralNumber
InterfaceClassItem
InterfaceDeclaration
InterfaceIdentifierOrClassScope
InterfaceItem
InterfaceOrGenerateItem
InterfacePortHeader
JoinKeyword
JumpStatement
LetFormalType
LetListOfArguments
LibraryDescription
Lifetime
ListOfArguments
ListOfCheckerPortConnections
ListOfParameterAssignments
ListOfPortConnections
LocalOrPackageScopeOrClassScope
LocalParameterDeclaration
LoopStatement
MethodCallBody
MethodCallRoot
MethodPrototype
MethodQualifier
MintypmaxExpression
ModportPortsDeclaration
ModportSimplePort
ModportTfPort
ModuleCommonItem
ModuleDeclaration
ModuleItem
ModuleKeyword
ModuleOrGenerateItem
ModuleOrGenerateItemDeclaration
ModulePathExpression
ModulePathMintypmaxExpression
ModulePathPrimary
NamedCheckerPortConnection
NamedPortConnection
NetDeclaration
NetLvalue
NetPortHeaderOrInterfacePortHeader
NetPortType
NetType
NetTypeDeclaration
NextState
NodeEvent
NonIntegerType
NonPortInterfaceItem
NonPortModuleItem
NonPortProgramItem
Number
OutputDeclaration
OutputIdentifier
PackageExportDeclaration
PackageImportItem
PackageItem
PackageOrGenerateItemDeclaration
PackageScope
PackageScopeOrClassScope
PackedDimension
ParamExpression
ParameterDeclaration
ParameterPortDeclaration
ParameterPortList
PartSelectRange
PathDeclaration
PathDelayValue
Pattern
Port
PortDeclaration
PortDirection
PortExpression
PragmaExpression
PragmaValue
Primary
PrimaryLiteral
ProceduralAssertionStatement
ProceduralContinuousAssignment
ProceduralTimingControl
ProgramDeclaration
ProgramGenerateItem
ProgramItem
PropertyActualArg
PropertyCaseItem
PropertyExpr
PropertyFormalType
PropertyListOfArguments
PropertyLvarPortDirection
PropertyQualifier
PsOrHierarchicalNetIdentifier
PsOrHierarchicalPropertyIdentifier
PsOrHierarchicalSequenceIdentifier
PsOrHierarchicalTfIdentifier
PsParameterIdentifier
PulldownStrength
PullupStrength
PulseControlSpecparam
RandomQualifier
RangeExpression
RealNumber
RefNode
RepeatRange
RsCaseItem
RsProd
RsProductionList
ScalarTimingCheckCondition
SelectExpression
SeqInputList
SequenceActualArg
SequenceExpr
SequenceFormalType
SequenceListOfArguments
SequenceLvarPortDirection
SequenceMatchItem
Sign
Signing
SimpleImmediateAssertionStatement
SimplePathDeclaration
SimpleType
SliceSize
SourceDescription
SpecifyItem
SpecifyTerminalDescriptor
SpecparamAssignment
StateDependentPathDeclaration
StatementItem
StatementOrNull
Strength
Strength0
Strength1
StructUnion
StructurePatternKey
SubroutineCall
SubroutineCallStatement
SystemTfCall
SystemTimingCheck
TaskBodyDeclaration
TfItemDeclaration
TfPortDirection
TimeLiteral
TimeUnit
TimeunitsDeclaration
TimingCheckCondition
TimingCheckEventControl
TransRangeList
TypeDeclaration
TypeDeclarationKeyword
TypeReference
UdpBody
UdpDeclaration
UdpOutputDeclaration
UdpPortDeclaration
UniquePriority
UnpackedDimension
UseClause
ValueRange
VarDataType
VariableDeclAssignment
VariableDimension
VariableIdentifierListOrNull
VariableLvalue
VectorScalar
WaitStatement
WeightSpecification
WhiteSpace

Traits

Node

Functions

parse_lib
parse_lib_pp
parse_lib_str
parse_sv
parse_sv_pp
parse_sv_str
preprocess
preprocess_str

Type Definitions

Defines