sv-parser-pp 0.12.0

Helper crate of sv-parser
Documentation
1
2
3
4
5
6
7
8
9
10
module a;
`define HI Hello
`define LO "`HI, world"
`define H(x) "Hello, x"
initial begin
$display("`HI, world");
$display(`LO);
$display(`H(world));
end
endmodule