Macro sdsl::bit_vector[][src]

macro_rules! bit_vector {
    (@single $($x:tt)*) => { ... };
    (@count $($rest:expr),*) => { ... };
    ($($key:expr,)+) => { ... };
    ($($key:expr),*) => { ... };
}
Expand description

Create a BitVector from a list of elements.

Example

let bv = sdsl::bit_vector! {1, 1, 0, 1};