[][src]Macro mp4ameta::be_int

macro_rules! be_int {
    ($bytes:expr, $index:expr, $type:ty) => { ... };
}

Attempts to read a big endian integer at the specified index from a byte array or vec.

Example

let bytes = vec![0u8, 0, 0, 0, 0, 0, 1, 3];
let int = be_int!(bytes, 4, u32);
assert_eq!(int, Some(259u32));