Macro manifest_dir_macros::file_path[][src]

file_path!() { /* proc-macro */ }

Allows input an absolute path, or a relative path. If a relative path is input, it will be relative to the CARGO_MANIFEST_DIR (a directory where your Cargo.toml located). Returns an absolute path, and it must be an existing file.

Multiple components can be input by using commas to separate them.