[][src]Crate libuv_sys2

Macros

uv_handle

This macro simplifies casting a reference or raw pointer to a uv_SOMETHING_t as a raw pointer to a uv_SOMETHING_ELSE_t. This is frequently necessary to cast a uv_SOMETHING_t to a uv_handle_t, but may also be used in other situations (casting a &mut uv_tty_t to a *mut uv_stream_t, for example). Really, this macro can be used to cast any reference or raw pointer to a raw pointer of a different type.

Structs

_IO_FILE
_IO_codecvt
_IO_marker
_IO_wide_data
__dirstream
__pthread_cond_s
__pthread_cond_s__bindgen_ty_1__bindgen_ty_1
__pthread_cond_s__bindgen_ty_2__bindgen_ty_1
__pthread_internal_list
__pthread_mutex_s
__pthread_rwlock_arch_t
addrinfo
dirent
in6_addr
in_addr
sockaddr
sockaddr_in
sockaddr_in6
sockaddr_storage
termios
uv__io_s
uv__work
uv_async_s
uv_buf_t
uv_check_s
uv_connect_s
uv_cpu_info_s
uv_cpu_times_s
uv_dir_s
uv_dirent_s
uv_env_item_s
uv_fs_event_s
uv_fs_poll_s
uv_fs_s
uv_getaddrinfo_s
uv_getnameinfo_s
uv_handle_s
uv_idle_s
uv_interface_address_s
uv_lib_t
uv_loop_s
uv_loop_s__bindgen_ty_2
uv_passwd_s
uv_pipe_s
uv_poll_s
uv_prepare_s
uv_process_options_s
uv_process_s
uv_random_s
uv_req_s
uv_rusage_t
uv_shutdown_s
uv_signal_s
uv_signal_s__bindgen_ty_2
uv_stat_t
uv_statfs_s
uv_stdio_container_s
uv_stream_s
uv_tcp_s
uv_thread_options_s
uv_timer_s
uv_timespec_t
uv_timeval64_t
uv_timeval_t
uv_tty_s
uv_udp_s
uv_udp_send_s
uv_utsname_s
uv_work_s
uv_write_s

Constants

AF_ALG
AF_APPLETALK
AF_ASH
AF_ATMPVC
AF_ATMSVC
AF_AX25
AF_BLUETOOTH
AF_BRIDGE
AF_CAIF
AF_CAN
AF_DECnet
AF_ECONET
AF_FILE
AF_IB
AF_IEEE802154
AF_INET
AF_INET6
AF_IPX
AF_IRDA
AF_ISDN
AF_IUCV
AF_KCM
AF_KEY
AF_LLC
AF_LOCAL
AF_MAX
AF_MPLS
AF_NETBEUI
AF_NETLINK
AF_NETROM
AF_NFC
AF_PACKET
AF_PHONET
AF_PPPOX
AF_QIPCRTR
AF_RDS
AF_ROSE
AF_ROUTE
AF_RXRPC
AF_SECURITY
AF_SMC
AF_SNA
AF_TIPC
AF_UNIX
AF_UNSPEC
AF_VSOCK
AF_WANPIPE
AF_X25
AF_XDP
AI_ADDRCONFIG
AI_ALL
AI_CANONNAME
AI_NUMERICHOST
AI_NUMERICSERV
AI_PASSIVE
AI_V4MAPPED
IPPROTO_AH
IPPROTO_BEETPH
IPPROTO_COMP
IPPROTO_DCCP
IPPROTO_DSTOPTS
IPPROTO_EGP
IPPROTO_ENCAP
IPPROTO_ESP
IPPROTO_FRAGMENT
IPPROTO_GRE
IPPROTO_HOPOPTS
IPPROTO_ICMP
IPPROTO_ICMPV6
IPPROTO_IDP
IPPROTO_IGMP
IPPROTO_IP
IPPROTO_IPIP
IPPROTO_IPV6
IPPROTO_MAX
IPPROTO_MH
IPPROTO_MPLS
IPPROTO_MTP
IPPROTO_NONE
IPPROTO_PIM
IPPROTO_PUP
IPPROTO_RAW
IPPROTO_ROUTING
IPPROTO_RSVP
IPPROTO_SCTP
IPPROTO_TCP
IPPROTO_TP
IPPROTO_UDP
IPPROTO_UDPLITE
NI_DGRAM
NI_MAXHOST
NI_MAXSERV
NI_NAMEREQD
NI_NOFQDN
NI_NUMERICHOST
NI_NUMERICSERV
SIGABRT
SIGALRM
SIGBUS
SIGCHLD
SIGCLD
SIGCONT
SIGEV_NONE
SIGEV_SIGNAL
SIGEV_THREAD
SIGEV_THREAD_ID
SIGFPE
SIGHUP
SIGILL
SIGINT
SIGIO
SIGIOT
SIGKILL
SIGPIPE
SIGPOLL
SIGPROF
SIGPWR
SIGQUIT
SIGSEGV
SIGSTKFLT
SIGSTKSZ
SIGSTOP
SIGSYS
SIGTERM
SIGTRAP
SIGTSTP
SIGTTIN
SIGTTOU
SIGURG
SIGUSR1
SIGUSR2
SIGVTALRM
SIGWINCH
SIGXCPU
SIGXFSZ
SIG_ATOMIC_MAX
SIG_ATOMIC_MIN
SIG_BLOCK
SIG_SETMASK
SIG_UNBLOCK
SOCK_CLOEXEC
SOCK_DCCP
SOCK_DGRAM
SOCK_NONBLOCK
SOCK_PACKET
SOCK_RAW
SOCK_RDM
SOCK_SEQPACKET
SOCK_STREAM
UV_FS_COPYFILE_EXCL
UV_FS_COPYFILE_FICLONE
UV_FS_COPYFILE_FICLONE_FORCE
UV_FS_O_APPEND
UV_FS_O_CREAT
UV_FS_O_DIRECT
UV_FS_O_DIRECTORY
UV_FS_O_DSYNC
UV_FS_O_EXCL
UV_FS_O_EXLOCK
UV_FS_O_FILEMAP
UV_FS_O_NOATIME
UV_FS_O_NOCTTY
UV_FS_O_NOFOLLOW
UV_FS_O_NONBLOCK
UV_FS_O_RANDOM
UV_FS_O_RDONLY
UV_FS_O_RDWR
UV_FS_O_SEQUENTIAL
UV_FS_O_SHORT_LIVED
UV_FS_O_SYMLINK
UV_FS_O_SYNC
UV_FS_O_TEMPORARY
UV_FS_O_TRUNC
UV_FS_O_WRONLY
UV_FS_SYMLINK_DIR
UV_FS_SYMLINK_JUNCTION
UV_IF_NAMESIZE
UV_MAXHOSTNAMESIZE
UV_ONCE_INIT
UV_PRIORITY_ABOVE_NORMAL
UV_PRIORITY_BELOW_NORMAL
UV_PRIORITY_HIGH
UV_PRIORITY_HIGHEST
UV_PRIORITY_LOW
UV_PRIORITY_NORMAL
UV_VERSION_HEX
UV_VERSION_IS_RELEASE
UV_VERSION_MAJOR
UV_VERSION_MINOR
UV_VERSION_PATCH
UV_VERSION_SUFFIX
UV__EAI_ADDRFAMILY
UV__EAI_AGAIN
UV__EAI_BADFLAGS
UV__EAI_BADHINTS
UV__EAI_CANCELED
UV__EAI_FAIL
UV__EAI_FAMILY
UV__EAI_MEMORY
UV__EAI_NODATA
UV__EAI_NONAME
UV__EAI_OVERFLOW
UV__EAI_PROTOCOL
UV__EAI_SERVICE
UV__EAI_SOCKTYPE
UV__ECHARSET
UV__EFTYPE
UV__EOF
UV__UNKNOWN
uv_dirent_type_t_UV_DIRENT_BLOCK
uv_dirent_type_t_UV_DIRENT_CHAR
uv_dirent_type_t_UV_DIRENT_DIR
uv_dirent_type_t_UV_DIRENT_FIFO
uv_dirent_type_t_UV_DIRENT_FILE
uv_dirent_type_t_UV_DIRENT_LINK
uv_dirent_type_t_UV_DIRENT_SOCKET
uv_dirent_type_t_UV_DIRENT_UNKNOWN
uv_errno_t_UV_E2BIG
uv_errno_t_UV_EACCES
uv_errno_t_UV_EADDRINUSE
uv_errno_t_UV_EADDRNOTAVAIL
uv_errno_t_UV_EAFNOSUPPORT
uv_errno_t_UV_EAGAIN
uv_errno_t_UV_EAI_ADDRFAMILY
uv_errno_t_UV_EAI_AGAIN
uv_errno_t_UV_EAI_BADFLAGS
uv_errno_t_UV_EAI_BADHINTS
uv_errno_t_UV_EAI_CANCELED
uv_errno_t_UV_EAI_FAIL
uv_errno_t_UV_EAI_FAMILY
uv_errno_t_UV_EAI_MEMORY
uv_errno_t_UV_EAI_NODATA
uv_errno_t_UV_EAI_NONAME
uv_errno_t_UV_EAI_OVERFLOW
uv_errno_t_UV_EAI_PROTOCOL
uv_errno_t_UV_EAI_SERVICE
uv_errno_t_UV_EAI_SOCKTYPE
uv_errno_t_UV_EALREADY
uv_errno_t_UV_EBADF
uv_errno_t_UV_EBUSY
uv_errno_t_UV_ECANCELED
uv_errno_t_UV_ECHARSET
uv_errno_t_UV_ECONNABORTED
uv_errno_t_UV_ECONNREFUSED
uv_errno_t_UV_ECONNRESET
uv_errno_t_UV_EDESTADDRREQ
uv_errno_t_UV_EEXIST
uv_errno_t_UV_EFAULT
uv_errno_t_UV_EFBIG
uv_errno_t_UV_EFTYPE
uv_errno_t_UV_EHOSTDOWN
uv_errno_t_UV_EHOSTUNREACH
uv_errno_t_UV_EILSEQ
uv_errno_t_UV_EINTR
uv_errno_t_UV_EINVAL
uv_errno_t_UV_EIO
uv_errno_t_UV_EISCONN
uv_errno_t_UV_EISDIR
uv_errno_t_UV_ELOOP
uv_errno_t_UV_EMFILE
uv_errno_t_UV_EMLINK
uv_errno_t_UV_EMSGSIZE
uv_errno_t_UV_ENAMETOOLONG
uv_errno_t_UV_ENETDOWN
uv_errno_t_UV_ENETUNREACH
uv_errno_t_UV_ENFILE
uv_errno_t_UV_ENOBUFS
uv_errno_t_UV_ENODEV
uv_errno_t_UV_ENOENT
uv_errno_t_UV_ENOMEM
uv_errno_t_UV_ENONET
uv_errno_t_UV_ENOPROTOOPT
uv_errno_t_UV_ENOSPC
uv_errno_t_UV_ENOSYS
uv_errno_t_UV_ENOTCONN
uv_errno_t_UV_ENOTDIR
uv_errno_t_UV_ENOTEMPTY
uv_errno_t_UV_ENOTSOCK
uv_errno_t_UV_ENOTSUP
uv_errno_t_UV_ENOTTY
uv_errno_t_UV_ENXIO
uv_errno_t_UV_EOF
uv_errno_t_UV_EPERM
uv_errno_t_UV_EPIPE
uv_errno_t_UV_EPROTO
uv_errno_t_UV_EPROTONOSUPPORT
uv_errno_t_UV_EPROTOTYPE
uv_errno_t_UV_ERANGE
uv_errno_t_UV_EREMOTEIO
uv_errno_t_UV_EROFS
uv_errno_t_UV_ERRNO_MAX
uv_errno_t_UV_ESHUTDOWN
uv_errno_t_UV_ESPIPE
uv_errno_t_UV_ESRCH
uv_errno_t_UV_ETIMEDOUT
uv_errno_t_UV_ETXTBSY
uv_errno_t_UV_EXDEV
uv_errno_t_UV_UNKNOWN
uv_fs_event_UV_CHANGE
uv_fs_event_UV_RENAME
uv_fs_event_flags_UV_FS_EVENT_RECURSIVE
uv_fs_event_flags_UV_FS_EVENT_STAT
uv_fs_event_flags_UV_FS_EVENT_WATCH_ENTRY
uv_fs_type_UV_FS_ACCESS
uv_fs_type_UV_FS_CHMOD
uv_fs_type_UV_FS_CHOWN
uv_fs_type_UV_FS_CLOSE
uv_fs_type_UV_FS_CLOSEDIR
uv_fs_type_UV_FS_COPYFILE
uv_fs_type_UV_FS_CUSTOM
uv_fs_type_UV_FS_FCHMOD
uv_fs_type_UV_FS_FCHOWN
uv_fs_type_UV_FS_FDATASYNC
uv_fs_type_UV_FS_FSTAT
uv_fs_type_UV_FS_FSYNC
uv_fs_type_UV_FS_FTRUNCATE
uv_fs_type_UV_FS_FUTIME
uv_fs_type_UV_FS_LCHOWN
uv_fs_type_UV_FS_LINK
uv_fs_type_UV_FS_LSTAT
uv_fs_type_UV_FS_LUTIME
uv_fs_type_UV_FS_MKDIR
uv_fs_type_UV_FS_MKDTEMP
uv_fs_type_UV_FS_MKSTEMP
uv_fs_type_UV_FS_OPEN
uv_fs_type_UV_FS_OPENDIR
uv_fs_type_UV_FS_READ
uv_fs_type_UV_FS_READDIR
uv_fs_type_UV_FS_READLINK
uv_fs_type_UV_FS_REALPATH
uv_fs_type_UV_FS_RENAME
uv_fs_type_UV_FS_RMDIR
uv_fs_type_UV_FS_SCANDIR
uv_fs_type_UV_FS_SENDFILE
uv_fs_type_UV_FS_STAT
uv_fs_type_UV_FS_STATFS
uv_fs_type_UV_FS_SYMLINK
uv_fs_type_UV_FS_UNKNOWN
uv_fs_type_UV_FS_UNLINK
uv_fs_type_UV_FS_UTIME
uv_fs_type_UV_FS_WRITE
uv_handle_type_UV_ASYNC
uv_handle_type_UV_CHECK
uv_handle_type_UV_FILE
uv_handle_type_UV_FS_EVENT
uv_handle_type_UV_FS_POLL
uv_handle_type_UV_HANDLE
uv_handle_type_UV_HANDLE_TYPE_MAX
uv_handle_type_UV_IDLE
uv_handle_type_UV_NAMED_PIPE
uv_handle_type_UV_POLL
uv_handle_type_UV_PREPARE
uv_handle_type_UV_PROCESS
uv_handle_type_UV_SIGNAL
uv_handle_type_UV_STREAM
uv_handle_type_UV_TCP
uv_handle_type_UV_TIMER
uv_handle_type_UV_TTY
uv_handle_type_UV_UDP
uv_handle_type_UV_UNKNOWN_HANDLE
uv_loop_option_UV_LOOP_BLOCK_SIGNAL
uv_loop_option_UV_METRICS_IDLE_TIME
uv_membership_UV_JOIN_GROUP
uv_membership_UV_LEAVE_GROUP
uv_poll_event_UV_DISCONNECT
uv_poll_event_UV_PRIORITIZED
uv_poll_event_UV_READABLE
uv_poll_event_UV_WRITABLE
uv_process_flags_UV_PROCESS_DETACHED
uv_process_flags_UV_PROCESS_SETGID
uv_process_flags_UV_PROCESS_SETUID
uv_process_flags_UV_PROCESS_WINDOWS_HIDE
uv_process_flags_UV_PROCESS_WINDOWS_HIDE_CONSOLE
uv_process_flags_UV_PROCESS_WINDOWS_HIDE_GUI
uv_process_flags_UV_PROCESS_WINDOWS_VERBATIM_ARGUMENTS
uv_req_type_UV_CONNECT
uv_req_type_UV_FS
uv_req_type_UV_GETADDRINFO
uv_req_type_UV_GETNAMEINFO
uv_req_type_UV_RANDOM
uv_req_type_UV_REQ
uv_req_type_UV_REQ_TYPE_MAX
uv_req_type_UV_SHUTDOWN
uv_req_type_UV_UDP_SEND
uv_req_type_UV_UNKNOWN_REQ
uv_req_type_UV_WORK
uv_req_type_UV_WRITE
uv_run_mode_UV_RUN_DEFAULT
uv_run_mode_UV_RUN_NOWAIT
uv_run_mode_UV_RUN_ONCE
uv_stdio_flags_UV_CREATE_PIPE
uv_stdio_flags_UV_IGNORE
uv_stdio_flags_UV_INHERIT_FD
uv_stdio_flags_UV_INHERIT_STREAM
uv_stdio_flags_UV_OVERLAPPED_PIPE
uv_stdio_flags_UV_READABLE_PIPE
uv_stdio_flags_UV_WRITABLE_PIPE
uv_tcp_flags_UV_TCP_IPV6ONLY
uv_thread_create_flags_UV_THREAD_HAS_STACK_SIZE
uv_thread_create_flags_UV_THREAD_NO_FLAGS
uv_tty_mode_t_UV_TTY_MODE_IO
uv_tty_mode_t_UV_TTY_MODE_NORMAL
uv_tty_mode_t_UV_TTY_MODE_RAW
uv_tty_vtermstate_t_UV_TTY_SUPPORTED
uv_tty_vtermstate_t_UV_TTY_UNSUPPORTED
uv_udp_flags_UV_UDP_IPV6ONLY
uv_udp_flags_UV_UDP_MMSG_CHUNK
uv_udp_flags_UV_UDP_MMSG_FREE
uv_udp_flags_UV_UDP_PARTIAL
uv_udp_flags_UV_UDP_RECVMMSG
uv_udp_flags_UV_UDP_REUSEADDR

Functions

uv_accept
uv_async_init
uv_async_send
uv_backend_fd
uv_backend_timeout
uv_barrier_destroy
uv_barrier_init
uv_barrier_wait
uv_buf_init
uv_cancel
uv_chdir
uv_check_init
uv_check_start
uv_check_stop
uv_close
uv_cond_broadcast
uv_cond_destroy
uv_cond_init
uv_cond_signal
uv_cond_timedwait
uv_cond_wait
uv_cpu_info
uv_cwd
uv_default_loop
uv_disable_stdio_inheritance
uv_dlclose
uv_dlerror
uv_dlopen
uv_dlsym
uv_err_name
uv_err_name_r
uv_exepath
uv_fileno
uv_free_cpu_info
uv_free_interface_addresses
uv_freeaddrinfo
uv_fs_access
uv_fs_chmod
uv_fs_chown
uv_fs_close
uv_fs_closedir
uv_fs_copyfile
uv_fs_event_getpath
uv_fs_event_init
uv_fs_event_start
uv_fs_event_stop
uv_fs_fchmod
uv_fs_fchown
uv_fs_fdatasync
uv_fs_fstat
uv_fs_fsync
uv_fs_ftruncate
uv_fs_futime
uv_fs_get_path
uv_fs_get_ptr
uv_fs_get_result
uv_fs_get_statbuf
uv_fs_get_system_error
uv_fs_get_type
uv_fs_lchown
uv_fs_link
uv_fs_lstat
uv_fs_lutime
uv_fs_mkdir
uv_fs_mkdtemp
uv_fs_mkstemp
uv_fs_open
uv_fs_opendir
uv_fs_poll_getpath
uv_fs_poll_init
uv_fs_poll_start
uv_fs_poll_stop
uv_fs_read
uv_fs_readdir
uv_fs_readlink
uv_fs_realpath
uv_fs_rename
uv_fs_req_cleanup
uv_fs_rmdir
uv_fs_scandir
uv_fs_scandir_next
uv_fs_sendfile
uv_fs_stat
uv_fs_statfs
uv_fs_symlink
uv_fs_unlink
uv_fs_utime
uv_fs_write
uv_get_constrained_memory
uv_get_free_memory
uv_get_osfhandle
uv_get_process_title
uv_get_total_memory
uv_getaddrinfo
uv_getnameinfo
uv_getrusage
uv_gettimeofday
uv_guess_handle
uv_handle_get_data
uv_handle_get_loop
uv_handle_get_type
uv_handle_set_data
uv_handle_size
uv_handle_type_name
uv_has_ref
uv_hrtime
uv_idle_init
uv_idle_start
uv_idle_stop
uv_if_indextoiid
uv_if_indextoname
uv_inet_ntop
uv_inet_pton
uv_interface_addresses
uv_ip4_addr
uv_ip4_name
uv_ip6_addr
uv_ip6_name
uv_is_active
uv_is_closing
uv_is_readable
uv_is_writable
uv_key_create
uv_key_delete
uv_key_get
uv_key_set
uv_kill
uv_library_shutdown
uv_listen
uv_loadavg
uv_loop_alive
uv_loop_close
uv_loop_configure
uv_loop_delete
uv_loop_fork
uv_loop_get_data
uv_loop_init
uv_loop_new
uv_loop_set_data
uv_loop_size
uv_metrics_idle_time
uv_mutex_destroy
uv_mutex_init
uv_mutex_init_recursive
uv_mutex_lock
uv_mutex_trylock
uv_mutex_unlock
uv_now
uv_once
uv_open_osfhandle
uv_os_environ
uv_os_free_environ
uv_os_free_passwd
uv_os_get_passwd
uv_os_getenv
uv_os_gethostname
uv_os_getpid
uv_os_getppid
uv_os_getpriority
uv_os_homedir
uv_os_setenv
uv_os_setpriority
uv_os_tmpdir
uv_os_uname
uv_os_unsetenv
uv_pipe_bind
uv_pipe_chmod
uv_pipe_connect
uv_pipe_getpeername
uv_pipe_getsockname
uv_pipe_init
uv_pipe_open
uv_pipe_pending_count
uv_pipe_pending_instances
uv_pipe_pending_type
uv_poll_init
uv_poll_init_socket
uv_poll_start
uv_poll_stop
uv_prepare_init
uv_prepare_start
uv_prepare_stop
uv_print_active_handles
uv_print_all_handles
uv_process_get_pid
uv_process_kill
uv_queue_work
uv_random
uv_read_start
uv_read_stop
uv_recv_buffer_size
uv_ref
uv_replace_allocator
uv_req_get_data
uv_req_get_type
uv_req_set_data
uv_req_size
uv_req_type_name
uv_resident_set_memory
uv_run
uv_rwlock_destroy
uv_rwlock_init
uv_rwlock_rdlock
uv_rwlock_rdunlock
uv_rwlock_tryrdlock
uv_rwlock_trywrlock
uv_rwlock_wrlock
uv_rwlock_wrunlock
uv_sem_destroy
uv_sem_init
uv_sem_post
uv_sem_trywait
uv_sem_wait
uv_send_buffer_size
uv_set_process_title
uv_setup_args
uv_shutdown
uv_signal_init
uv_signal_start
uv_signal_start_oneshot
uv_signal_stop
uv_sleep
uv_spawn
uv_stop
uv_stream_get_write_queue_size
uv_stream_set_blocking
uv_strerror
uv_strerror_r
uv_tcp_bind
uv_tcp_close_reset
uv_tcp_connect
uv_tcp_getpeername
uv_tcp_getsockname
uv_tcp_init
uv_tcp_init_ex
uv_tcp_keepalive
uv_tcp_nodelay
uv_tcp_open
uv_tcp_simultaneous_accepts
uv_thread_create
uv_thread_create_ex
uv_thread_equal
uv_thread_join
uv_thread_self
uv_timer_again
uv_timer_get_due_in
uv_timer_get_repeat
uv_timer_init
uv_timer_set_repeat
uv_timer_start
uv_timer_stop
uv_translate_sys_error
uv_try_write
uv_tty_get_vterm_state
uv_tty_get_winsize
uv_tty_init
uv_tty_reset_mode
uv_tty_set_mode
uv_tty_set_vterm_state
uv_udp_bind
uv_udp_connect
uv_udp_get_send_queue_count
uv_udp_get_send_queue_size
uv_udp_getpeername
uv_udp_getsockname
uv_udp_init
uv_udp_init_ex
uv_udp_open
uv_udp_recv_start
uv_udp_recv_stop
uv_udp_send
uv_udp_set_broadcast
uv_udp_set_membership
uv_udp_set_multicast_interface
uv_udp_set_multicast_loop
uv_udp_set_multicast_ttl
uv_udp_set_source_membership
uv_udp_set_ttl
uv_udp_try_send
uv_udp_using_recvmmsg
uv_unref
uv_update_time
uv_uptime
uv_version
uv_version_string
uv_walk
uv_write
uv_write2

Type Definitions

DIR
FILE
_IO_lock_t
__gid_t
__ino_t
__int32_t
__int64_t
__mode_t
__off64_t
__off_t
__pid_t
__pthread_list_t
__socket_type
__socklen_t
__ssize_t
__uid_t
__uint8_t
__uint16_t
__uint32_t
__uint64_t
_bindgen_ty_5
_bindgen_ty_6
_bindgen_ty_17
cc_t
gid_t
in_addr_t
in_port_t
mode_t
off_t
pid_t
pthread_key_t
pthread_once_t
pthread_t
sa_family_t
size_t
socklen_t
speed_t
ssize_t
tcflag_t
uid_t
uv__dirent_t
uv__io_cb
uv__io_t
uv_after_work_cb
uv_alloc_cb
uv_async_cb
uv_async_t
uv_barrier_t
uv_calloc_func
uv_check_cb
uv_check_t
uv_close_cb
uv_cond_t
uv_connect_cb
uv_connect_t
uv_connection_cb
uv_cpu_info_t
uv_dir_t
uv_dirent_t
uv_dirent_type_t
uv_env_item_t
uv_errno_t
uv_exit_cb
uv_file
uv_free_func
uv_fs_cb
uv_fs_event
uv_fs_event_cb
uv_fs_event_flags
uv_fs_event_t
uv_fs_poll_cb
uv_fs_poll_t
uv_fs_t
uv_fs_type
uv_getaddrinfo_cb
uv_getaddrinfo_t
uv_getnameinfo_cb
uv_getnameinfo_t
uv_gid_t
uv_handle_t
uv_handle_type
uv_idle_cb
uv_idle_t
uv_interface_address_t
uv_key_t
uv_loop_option
uv_loop_t
uv_malloc_func
uv_membership
uv_mutex_t
uv_once_t
uv_os_fd_t
uv_os_sock_t
uv_passwd_t
uv_pid_t
uv_pipe_t
uv_poll_cb
uv_poll_event
uv_poll_t
uv_prepare_cb
uv_prepare_t
uv_process_flags
uv_process_options_t
uv_process_t
uv_random_cb
uv_random_t
uv_read_cb
uv_realloc_func
uv_req_t
uv_req_type
uv_run_mode
uv_rwlock_t
uv_sem_t
uv_shutdown_cb
uv_shutdown_t
uv_signal_cb
uv_signal_t
uv_statfs_t
uv_stdio_container_t
uv_stdio_flags
uv_stream_t
uv_tcp_flags
uv_tcp_t
uv_thread_cb
uv_thread_create_flags
uv_thread_options_t
uv_thread_t
uv_timer_cb
uv_timer_t
uv_tty_mode_t
uv_tty_t
uv_tty_vtermstate_t
uv_udp_flags
uv_udp_recv_cb
uv_udp_send_cb
uv_udp_send_t
uv_udp_t
uv_uid_t
uv_utsname_t
uv_walk_cb
uv_work_cb
uv_work_t
uv_write_cb
uv_write_t

Unions

__pthread_cond_s__bindgen_ty_1
__pthread_cond_s__bindgen_ty_2
in6_addr__bindgen_ty_1
pthread_barrier_t
pthread_cond_t
pthread_mutex_t
pthread_rwlock_t
sem_t
uv_any_handle
uv_any_req
uv_async_s__bindgen_ty_1
uv_check_s__bindgen_ty_1
uv_fs_event_s__bindgen_ty_1
uv_fs_poll_s__bindgen_ty_1
uv_handle_s__bindgen_ty_1
uv_idle_s__bindgen_ty_1
uv_interface_address_s__bindgen_ty_1
uv_interface_address_s__bindgen_ty_2
uv_loop_s__bindgen_ty_1
uv_pipe_s__bindgen_ty_1
uv_poll_s__bindgen_ty_1
uv_prepare_s__bindgen_ty_1
uv_process_s__bindgen_ty_1
uv_signal_s__bindgen_ty_1
uv_stdio_container_s__bindgen_ty_1
uv_stream_s__bindgen_ty_1
uv_tcp_s__bindgen_ty_1
uv_timer_s__bindgen_ty_1
uv_tty_s__bindgen_ty_1
uv_udp_s__bindgen_ty_1