[][src]Function core_arch::mips::__msa_div_u_d

pub unsafe fn __msa_div_u_d(a: v2u64, b: v2u64) -> v2u64
🔬 This is a nightly-only experimental API. (stdsimd)
This is supported on MIPS and target feature msa only.

Vector Unsigned Divide

The unsigned integer elements in vector 'a' (two unsigned 64-bit integer numbers) are divided by unsigned integer elements in vector 'b' (two unsigned 64-bit integer numbers). The result is written to vector (two unsigned 64-bit integer numbers).