[][src]Trait building_blocks_storage::IsEmpty

pub trait IsEmpty {
    fn is_empty(&self) -> bool;
}

Used in many generic algorithms to check if a voxel is considered empty.

Required methods

fn is_empty(&self) -> bool

Loading content...

Implementors

Loading content...