1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
use word::Word;
use bitintr;

/// Returns mask with the trailing 0's of `x` set.
///
/// If all bits of `x` are set, returns `0`.
///
/// # Intrinsics:
/// - TBM: tzmsk.
///
/// # Examples
///
/// ```
/// use bitwise::word::*;
///
/// assert_eq!(0b0110_0000u8.mask_trailing_zeros(), 0b0001_1111u8);
/// assert_eq!(mask_trailing_zeros(0b1111_1111u8), 0);
/// ```
#[inline]
pub fn mask_trailing_zeros<T: Word>(x: T) -> T {
    bitintr::x86::tbm::tzmsk(x)
}

/// Method version of [`mask_trailing_zeros`](fn.mask_trailing_zeros.html).
pub trait MaskTrailingZeros {
    #[inline]
    fn mask_trailing_zeros(self) -> Self;
}

impl<T: Word> MaskTrailingZeros for T {
    #[inline]
    fn mask_trailing_zeros(self) -> Self {
        mask_trailing_zeros(self)
    }
}