macro_rules! f_bench {
    (prime, $bench_group_name:expr, $F:ident) => { ... };
    (extension, $bench_group_name:expr, $F:ident) => { ... };
    (target, $bench_group_name:expr, $F:ident) => { ... };
}